KR100234539B1 - 반도체장치 제조용 식각 장치 - Google Patents

반도체장치 제조용 식각 장치 Download PDF

Info

Publication number
KR100234539B1
KR100234539B1 KR1019960070900A KR19960070900A KR100234539B1 KR 100234539 B1 KR100234539 B1 KR 100234539B1 KR 1019960070900 A KR1019960070900 A KR 1019960070900A KR 19960070900 A KR19960070900 A KR 19960070900A KR 100234539 B1 KR100234539 B1 KR 100234539B1
Authority
KR
South Korea
Prior art keywords
cassette
wafer
chamber
etching apparatus
supply
Prior art date
Application number
KR1019960070900A
Other languages
English (en)
Other versions
KR19980051973A (ko
Inventor
박철규
Original Assignee
윤종용
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자주식회사 filed Critical 윤종용
Priority to KR1019960070900A priority Critical patent/KR100234539B1/ko
Priority to TW086109970A priority patent/TW358221B/zh
Priority to JP09315212A priority patent/JP3107780B2/ja
Priority to US08/996,100 priority patent/US6340405B2/en
Publication of KR19980051973A publication Critical patent/KR19980051973A/ko
Application granted granted Critical
Publication of KR100234539B1 publication Critical patent/KR100234539B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Abstract

웨이퍼를 공정챔버로 이송시키는 과정과 웨이퍼에 식각 공정을 수행하는 과정에서 웨이퍼의 공정면에 대한 오염을 감소시키도록 한 반도체장치 제조용 식각 장치에 관한 것이다.
본 발명의 구성은 공정면이 하방을 향하도록 하여 식각 공정을 수행하는 공정챔버(100), 상기 공정챔버에 웨이퍼(W)를 공급하기 위한 것으로, 카세트(C)가 놓여지는 카세트 공급실(200), 상기 공정챔버와 카세트 공급실 사이에 구비되어 대기상태의 카세트 공급실로부터 진공상태의 공정챔버로 웨이퍼를 공급하기 위한 것으로, 카세트를 상,하방향으로 이송시키는 엘리베이터와, 상기 카세트로부터 웨이퍼를 하나씩 꺼내어 공정챔버로 이송 및 반송시키는 웨이퍼 이송용 로봇을 구비하는 로드락챔버(300) 및 상기 카세트 공급실의 카세트 공급테이블로부터 로드락챔버의 엘리베이터로 카세트를 이송 및 반송시키는 카세트 이송수단으로 이루어진다.
따라서 웨이퍼의 이송 및 공정중에 웨이퍼의 공정면에 대한 오염을 감소시킬 수 있고, 웨이퍼의 이송 및 반송에 소요되는 시간이 단축되어 설비의 가동율이 증대되는 효과가 있다.

Description

반도체장치 제조용 식각 장치
본 발명은 반도체장치 제조용 식각(Etching) 장치에 관한 것으로서, 더욱 상세하게는 웨이퍼를 공정챔버로 이송시키는 과정과 웨이퍼에 식각 공정을 수행하는 과정에서 웨이퍼의 공정면에 대한 오염을 감소시키도록 한 반도체장치 제조용 식각 장치에 관한 것이다.
일반적으로 반도체장치는 포토 리소그래피(Photo Lithography), 식각, 박막형성 공정등 많은 공정을 반복적으로 수행하여 제조되고, 이러한 공정중 식각 공정은 웨이퍼상의 불필요한 막을 제거하는 공정으로써 크게 케미컬(Chemical)을 이용하는 습식 식각방법과 플라즈마(Plasma)를 이용한 건식 식각방법으로 구분된다.
도1 및 도2는 상기와 같은 식각 공정에서 종래의 건식 식각 장치의 구성을 개략적으로 나타낸 것으로, 식각 장치는 식각 공정을 수행하기 위한 복수개의 공정챔버(1)와, 상기 공정챔버(1)에 웨이퍼(W)를 공급하기 위한 웨이퍼 공급부(2)와, 상기 공정챔버(1)와 웨이퍼 공급부(2) 사이에 설치되어 대기상태의 웨이퍼 공급부(2)에서 진공상태의 공정챔버(1)로 웨이퍼(W)를 공급하기 위한 로드락챔버(Load Lock Chamber)(3)와, 웨이퍼(W)가 공정챔버 (1) 내에 항상 일정한 위치로 공급될 수 있도록 웨이퍼(W)의 플랫존(Flat Zone)을 정렬하기 위한 얼라이너(Aligner)(4)로 구성되어 있다.
상기 공정챔버(1)의 내부에는 캐소우드(5)가 구비되어 상면에 공정면을 상방으로 향하도록한 웨이퍼(W)가 놓여지게 되고, 내부 환경은 상부의 가스공급부(11)에서 공정가스를 공급함과 동시에 내부에 플라즈마를 형성하여 웨이퍼(W)의 공정면에 대해 식각공정이 이루어지며, 내부를 고진공상태로 유지하여 안정된 식각 공정이 이루어지도록 되어 있다.
또한 상기 로드락챔버(3)는 내부에 엘리베이터(6)와 로봇(7)이 구비된 것으로, 로봇(7)의 페취아암(11)이 웨이퍼 공급부(2)의 카세트(8)에 적재된 웨이퍼(W)를 모두 엘리베이터(6)의 웨이퍼블록(9)에 적재시키고, 상,하방향으로 이송되는 엘리베이터(6)로부터 웨이퍼(W)를 하나씩 꺼내어 얼라이너(4)를 통해 플랫존을 정렬한 다음 공정챔버(1)로 이송시켜 식각 공정이 수행되도록 하고, 공정을 마친 웨이퍼는 다시 엘리베이터(6)의 웨이퍼블록(9)에 적재시키며, 공정이 모두 끝나게 되면 다시 웨이퍼 공급부(2)로 모두 반송시키게 된다.
이때 웨이퍼 공급부(2)는 대기상태이고, 공정챔버(1)는 고진공을 유지하고 있으므로 웨이퍼 공급부(2)에서 로드락챔버(3) 내부의 웨이퍼블록(9)으로 웨이퍼(W)를 이송시킬 때에는 공정챔버(1)와 로드락챔버(3) 사이를 차단하여 밀폐시키고, 로드락챔버(3)를 대기상태로 만들어 웨이퍼(W)의 이송이 가능하게 하며, 로드락챔버(3)에서 공정챔버(1)로 웨이퍼(W)를 이송시킬 때에는 웨이퍼 공급부(2) 사이를 차단하여 밀폐시키고, 로드락챔버(3)의 내부를 진공상태로 만들어 공정챔버(1)와의 압력차이를 줄인 후 웨이퍼(W)를 이송시키도록 되어 있다.
그리고 웨이퍼 공급부(2)는 적어도 하나 이상의 카세트(8)가 놓여지는 테이블(10)이 구비되고, 테이블(10)은 좌,우 이동이 가능하도록 되어 복수개의 카세트(8)에 적재된 웨이퍼(W)를 순차적으로 공급할 수 있도록 된 것이다.
이러한 식각 장치를 이용하여 식각 공정을 수행함에 있어 웨이퍼(W)의 공정면에 대한 오염은 공정시 불량을 유발하게 되므로 로드락챔버(3) 및 공정챔버(1)의 내부는 물론 카세트(8)의 이동과정에서도 주변환경을 청정하게 유지하여 웨이퍼(W)가 오염되는 것을 최대한 억제하고 있다.
그러나 오염원을 완전히 제거할 수 없기 때문에 공정챔버(1) 및 로드락챔버(3)를 포함한 주변환경에는 항상 미소량의 오염원이 존재하고, 종래의 식각 장치는 웨이퍼(W)의 공정면이 위로 향한 상태에서 식각공정이 진행되는 것이므로 공정챔버(1)내의 파티클등 오염원이 웨이퍼(W)의 공정면에 부착되어 웨이퍼를 오염시키게 되며, 웨이퍼를 웨이퍼 공급부(2)로부터 공정챔버(1)까지 이송시키는 과정에서도 웨이퍼(W)의 공정면이 위로 향한 상태에서 이송 및 반송되므로 웨이퍼 공정면에 오염원이 부착되어 웨이퍼를 오염시키게 됨으로써 식각 공정시 불량율이 증가하여 수율을 저하시키게 된다.
또한 웨이퍼 공급부(2)로부터 공정챔버(1)까지 웨이퍼(W)를 이송시키는 과정을 보면, 웨이퍼 공급부(2)의 카세트(8)에 적재된 웨이퍼(W)를 하나씩 꺼내어 로드락챔버(3)내의 웨이퍼블록(9)에 순차적으로 삽입시키고, 웨이퍼블록(9)의 웨이퍼(W)를 하나씩 꺼내어 얼라이너(4)로 이송시켜 플랫존을 정렬한 다음 공정챔버(1)로 이송시켰던 것으로, 웨이퍼의 이송 및 웨이퍼의 플랫존 정렬이 낱장단위로 이루어지게 됨으로써 전체적으로 공정시간이 길어져 장치의 가동율 및 생산성을 저하시키는 문제점이 있었다.
본 발명은 상기와 같은 종래의 문제점을 해결하기 위한 것으로, 그 목적은 웨이퍼를 웨이퍼 공급부로부터 공정챔버로 이송 및 반송시키는 과정과, 공정챔버내에서 공정이 진행되는 동안 주변환경의 오염원이 웨이퍼의 공정면에 부착되어 웨이퍼를 오염시키는 것을 감소시킴으로써 오염으로 인한 공정불량을 방지하여 생산수율을 증대시킬 수 있는 반도체장치 제조용 식각 장치를 제공하는 것이다.
본 발명의 다른 목적은 웨이퍼를 공정챔버로 이송시키는데 소요되는 시간과 웨이퍼의 플랫존을 정렬하는데 소요되는 시간을 단축시켜 신속한 공정이 이루어지도록 함으로써 장치의 가동율 및 생산성을 증대시킬 수 있는 반도체장치 제조용 식각 장치를 제공하는 것이다.
도1은 종래의 식각 장치 내부 구성을 개략적으로 나타낸 평면도이다.
도2는 종래의 식각 장치 내부 구성을 개략적으로 나타낸 종단면도이다.
도3은 본 발명에 따른 식각 장치의 내부 구성을 개략적으로 나타낸 평면도이다.
도4는 본 발명에 따른 식각 장치의 내부 구성을 개략적으로 나타낸 종단면도이다.
도5는 본 발명에 따른 식각 장치의 카세트 공급테이블을 나타낸 사시도이다.
도6은 본 발명에 따른 식각 장치의 카세트 공급테이블을 나타낸 정면도이다.
도7은 도6의 요부 확대도이다.
도8은 본 발명에 따른 식각 장치의 웨이퍼 정렬부를 나타낸 사시도이다.
도9는 본 발명에 따른 식각 장치의 웨이퍼 정렬부를 나타낸 종단면도이다.
도10은 본 발명에 따른 식각 장치의 웨이퍼 정렬부에서 카세트 고정수단을 나타낸 도9의 A-A선 단면도이다.
도11은 본 발명에 따른 식각 장치의 웨이퍼 정렬부에서 정렬테이블의 구동상태를 나타낸 종단면도이다.
도12는 본 발명에 따른 식각 장치의 웨이퍼 정렬부에서 웨이퍼 정렬기의 구동상태를 나타낸 단면도이다.
도13 및 도14는 본 발명에 따른 식각 장치의 카세트 이송용 로봇의 구동상태를 나타낸 평면도이다.
도15 및 도16은 본 발명에 따른 식각 장치에서 공정챔버의 구성과 동작상태를 나타낸 종단면도이다.
도17은 본 발명에 따른 식각 장치의 공정챔버에서 웨이퍼 로딩수단을 나타낸 분해사시도이다.
도18은 도15의 A부 상세도이다.
도19A 및 19B는 본 발명에 따른 식각 장치의 공정챔버에서 하부덮개의 분리과정을 나타낸 동작상태도이다.
※도면의 주요부분에 대한 부호의 설명
100 : 공정챔버101, 201, 301, 401 : 통로
102, 202, 302, 402 : 도어110 : 챔버몸체
111 : 캐소우드121 : 로더
122 : 홀더123, 124 : 리프터
130, 140 : 제 1 및 제 2 실린더131, 141 : 축
151, 154 : 발광센서152, 155 : 수광센서
160 : 하부덮개161 : 가스분사판
164 : 가스공급라인167 : 바퀴
169 : 레일170 : 손잡이
200 : 카세트 공급실210 : 카세트 공급테이블
211 : 고정테이블212 : 받침테이블
221 : 볼스크류222 : 볼베어링
233 : 에어실린더234 : 누름레버
300 : 로드락챔버310 : 엘리베이터
320 : 웨이퍼 이송용 로봇321 : 페취아암
400 : 웨이퍼 정렬챔버410 : 웨이퍼 정렬부
411 : 베이스413 : 정렬테이블
414 : 정렬판430 : 웨이퍼 정렬기
500 : 카세트 이송용 로봇510 : 핑거
520 : 아암530 : 축
540 : 구동부W : 웨이퍼
C : 카세트P0 : 대기위치
P1 : 제 1 로딩위치P2 : 제 2 로딩위치
상기의 목적은 공정면이 하방을 향하도록 하여 식각 공정을 수행하는 공정챔버와; 상기 공정챔버에 웨이퍼를 공급하기 위한 것으로, 다수매의 웨이퍼가 적재된 카세트가 놓여지는 카세트 공급테이블을 구비하는 카세트 공급실과; 상기 공정챔버와 카세트 공급실 사이에 구비되어 대기상태의 카세트 공급실로부터 진공상태의 공정챔버로 웨이퍼를 공급하기 위한 것으로, 카세트를 상,하방향으로 이송시키는 엘리베이터를 구비하고, 상기 카세트로부터 웨이퍼를 하나씩 꺼내어 공정챔버로 이송 및 반송시키는 웨이퍼 이송용 로봇을 구비하는 로드락챔버와; 상기 카세트 공급실의 카세트 공급테이블로부터 로드락챔버의 엘리베이터로 카세트를 이송 및 반송시키는 카세트 이송수단을 포함하여 됨을 특징으로 하는 반도체 장치 제조용 식각 장치에 의해 달성될 수 있다.
이때 상기 카세트 공급실과 로드락챔버 사이에 웨이퍼 정렬부를 구비하는 웨이퍼 정렬챔버를 설치하여 카세트에 적재된 다수매의 웨이퍼를 동시에 정렬하여 플랫존을 일치시킨 후 엘리베이터로 이송되도록 하는 것이 바람직하다.
이하, 본 발명의 구체적인 실시예를 첨부한 도면을 참조하여 상세히 설명한다.
도3 및 도4는 본 발명에 따른 반도체장치 제조용 식각 장치를 나타낸 것으로, 본 발명의 식각 장치는 공정면이 하방을 향하도록 하여 식각 공정을 수행하는 공정챔버(100)와, 상기 공정챔버(100)에 웨이퍼(W)를 공급하는 카세트 공급실(200)을 구비하고, 카세트 공급실(200)내에는 웨이퍼(W)의 공정면을 하방으로 향하도록 하여 다수매 적재시킨 카세트(C)가 놓여지는 카세트 공급테이블(210)이 설치된다.
또한 상기 공정챔버(100)와 웨이퍼 공급실(200) 사이에는 대기상태의 카세트 공급실(200)로부터 진공상태의 공정챔버(100)로 웨이퍼(W)를 공급하기 위한 로드락챔버(300)가 구비되고, 로드락챔버(300)내에는 내부로 이송되어온 카세트(C)를 상,하방향으로 이동시키는 엘리베이터(310)와, 상기 카세트(C)로부터 웨이퍼(W)를 하나씩 꺼내어 공정챔버(100)로 이송 및 반송시키는 웨이퍼 이송용 로봇(320)을 구비한다.
그리고 상기 카세트 공급실(200)과 로드락챔버(300) 사이에 웨이퍼 정렬챔버(400)가 구비되고, 이 웨이퍼 정렬챔버(400)내에는 카세트(C)에 적재된 다수매의 웨이퍼(W)를 동시에 정렬하여 플랫존을 일치시키는 웨이퍼 정렬부(410)와, 카세트 공급실(200)로부터 웨이퍼 정렬챔버(400) 및 로드락챔버(300)로 카세트(C)를 이송 및 반송시키는 카세트 이송수단이 구비되어 있으며, 카세트 이송수단은 후술되어질 카세트 이송용 로봇(500)을 구비하여 이루어진다.
이러한 카세트 공급실(200), 웨이퍼 정렬챔버(400), 로드락챔버(300) 및 공정챔버(100)는 각각 독립적으로 구성되어 연이어 설치된 것으로, 카세트 공급실(200)의 일측에 카세트(C)를 출입시킬 수 있는 크기의 통로(201)가 형성되어 도어(202)로 개폐되고, 웨이퍼 정렬챔버(400)와 로드락챔버(300) 사이 및 웨이퍼 공급실(200)과 웨이퍼 정렬챔버(400) 사이에는 각각 카세트(C)를 통과시켜 이송 및 반송시킬 수 있는 크기의 통로(301)(401)를 형성하여 도어(302)(402)에 의해 개폐되도록 하며, 로드락챔버(300)와 공정챔버(100) 사이에는 하나의 웨이퍼(W)가 통과할 수 있는 통로(101)를 구비하여 역시 도어(102)에 의해 개폐되도록 한다.
따라서 웨이퍼 정렬챔버(400)에 구비된 카세트 이송용 로봇(500)을 이용하여 카세트 공급실(200)의 카세트 공급테이블(210)로부터 웨이퍼 정렬챔버(400)의 웨이퍼 정렬부(410)로 카세트(C)를 이송시킬 때에는 웨이퍼 정렬챔버(400)와 로드락챔버(300) 사이의 통로(301)를 도어(302)로 폐쇄하고, 카세트 공급실(200)과 웨이퍼 정렬챔버(400) 사이의 통로(401)를 개방하여 이 통로(401)를 통해 카세트(C)를 웨이퍼 정렬부(410)로 이송시켜 카세트(C)에 적재된 다수매의 웨이퍼(W)를 동시에 정렬시키게 된다.
또한 웨이퍼(W)의 정렬을 마치게 되면, 카세트 공급실(200)과 웨이퍼 정렬챔버(400) 사이의 통로(401)를 도어(402)로 폐쇄하고, 로드락챔버(300) 사이의 도어(301)를 개방시킨 다음 카세트 이송용 로봇(500)을 이용하여 카세트(C)를 로드락챔버(300)내의 엘리베이터(310)로 이송시키게 된다.
이와 같이 엘리베이터(310)에 놓여진 카세트(C)로부터 공정챔버(100)로 웨이퍼(W)를 공급할 때에는 공정챔버(100)가 고진공상태를 유지하고 있는 것이므로, 웨이퍼 정렬챔버(400) 사이의 통로(301)를 도어(302)로 폐쇄시키고, 로드락챔버(300)와 공정챔버(100)의 압력차이를 줄일 수 있도록 로드락챔버(300)를 진공상태로 만든후에 웨이퍼 이송용 로봇(320)을 이용하여 공정챔버(100)의 통로(101)를 통해 한 장씩 공급함으로써 공정챔버(100) 내에서 식각 공정을 수행하게 된다.
공정챔버(100) 내에서 식각 공정을 마친 웨이퍼(W)는 다시 웨이퍼 이송용 로봇(320)에 의해 엘리베이터(310)상의 카세트(C)에 적재되어지며, 이러한 방식으로 카세트(C)에 적재된 모든 웨이퍼(W)를 순차적으로 공정챔버(100)에 공급하여 식각 공정을 수행하는 것으로, 카세트(C)가 놓여진 엘리베이터(310)는 웨이퍼 이송용 로봇(320)이 웨이퍼(W)를 순차적으로 하나씩 꺼내고 넣을 수 있도록 상,하방향으로 이동하게 된다.
이와 같이 식각 공정을 마치고 엘리베이터(310)상의 카세트(C)에 적재된 웨이퍼의 반송과정은 로드락챔버(300)와 공정챔버(100) 사이의 통로(101)를 밀폐시키고, 로드락챔버(300)의 내부를 대기상태로 만들어 로드락챔버(300)의 통로(301) 및 웨이퍼 정렬챔버(400)의 통로(401)를 개방시킨 다음, 이 통로(301)(401)를 통해 카세트 이송용 로봇(500)이 엘리베이터(310) 상의 카세트(C)를 카세트 공급실(200)의 카세트 공급테이블(210)로 반송시키게 된다.
이때 웨이퍼(W)를 카세트 공급실(200)에서 공정챔버(100)로 이송 및 반송시키는 과정에서 항상 공정면이 하방을 향하도록 하여 이송시키고, 공정챔버 내에서도 웨이퍼(W)의 공정면이 하방을 향한 상태에서 식각 공정이 이루어지도록 함으로써 웨이퍼(W)의 이송 및 반송과정 또는 웨이퍼(W)의 식각 공정중에 웨이퍼(W)의 공정면에 오염원이 부착되는 것을 감소시킨다.
또한 카세트 공급실(200)에서 로드락챔버(300)까지 카세트(C)가 이송되는 것이므로 웨이퍼(W)를 이송 및 반송시키는 시간이 단축되어지고, 웨이퍼 정렬챔버(400)내에서 카세트(C)에 적재된 다수매의 웨이퍼(W)가 동시에 정렬되므로 웨이퍼(W) 플렛존 정렬시간이 단축되어진다.
도5 내지 도7은 상기한 바와 같은 본 발명의 식각 장치에서 카세트 공급실(200)에 구비된 카세트 공급테이블(210)을 나타낸 것으로, 공정면이 하방을 향하도록 다수매의 웨이퍼(W)를 적재한 카세트(C)가 놓여지는 복수개의 고정테이블(211)을 상,하방향으로 배치하여 구비하고, 이 복수개의 고정테이블(211)은 하부의 받침테이블(212)상에서 수직방향으로 이송가능하게 설치한다. 즉 하측의 고정테이블(211) 하부에 고정된 지지축(213)을 하부의 받침테이블(212)에 관통시켜 지지축(213)의 반경방향으로 지지시키고, 수직이송수단에 의해 고정테이블(211)이 자동으로 제어되어 수직방향으로 소정높이 이송되도록 한다.
상기 수직이송수단은 하측의 고정테이블(211) 하부로부터 연장된 수평판(214)에 관통설치된 볼스크류(221)와, 상기 수평판(214)에 고정설치되어 볼스크류(221)에 안내되는 볼베어링(222)과, 상기 볼스크류(221)를 회전시키는 구동모터(223)와, 상기 구동모터(223)의 회전력을 볼스크류(221)에 전달하는 한쌍의 풀리(224a)(224b) 및 벨트(225)로 이루어지고, 볼스크류(221)의 양쪽에 수평판(214)을 관통하여 하단부가 받침테이블(212)에 고정되는 가이드봉(226)을 설치하여 수평판(214)이 볼스크류(221)을 따라 직선이동하는 것을 가능하게 한다.
따라서 구동모터(223)의 구동으로 한 쌍의 풀리(224a)(224b) 및 벨트(225)로 연결된 볼스크류(221)가 회전하게 되면, 이에 안내되는 볼베어링(222)에 의해 복수개의 고정테이블(211)이 수직방향으로 이동하게 되고, 2개의 가이드봉(226)에 의해 고정테이블(211)은 지지축(213)을 중심으로 회전됨이 없이 직선이동 가능하게 되며, 지지축(213)은 고정테이블(211)의 직선이동을 지지하게 된다.
이와 같이 수직이동하는 고정테이블(211)은 구동모터(223)의 구동에 의해 도4에 도시된 바와 같이 웨이퍼 정렬챔버(400) 사이의 통로(401)에 선택적으로 위치되므로 카세트 이송용 로봇(500)으로 카세트(C)를 이송 및 반송시킬 수 있게 된다.
상기 복수개의 고정테이블(211)은 도면에 2개가 설치된 것으로 도시되어 있으나, 이에 한정하는 것은 아니고, 그 이상의 개수로 설치할 수도 있다.
한편, 카세트(C)가 놓여지는 각각의 고정테이블(211)은 판침판(231)과, 이 판침판(231) 양쪽에 고정된 수직바(232)로 이루어지고, 수직이동시 카세트(C)의 유동 및 미끄러짐을 방지하기 위한 고정수단이 구비되어 있다. 고정수단은 일측의 수직바(232) 하부에 회동가능하게 설치된 에어실린더(233)와 양쪽 수직바(232) 상부에 양단이 회동가능하게 설치된 누름레버(234)로 이루어지고, 에어실린더(233)의 로드(233a)는 누름레버(234)의 자유단부에 연동가능하게 연결되어 구성된다.
따라서 도7에 도시된 바와 같이 에어실린더(233)의 작동으로 로드(233a)가 직선이동하는 것에 의해 누름레버(234)가 회동하게 되므로 받침판(231) 상에 놓여진 카세트(C)의 상면을 눌러 고정하거나 고정해제하는 것이 가능한 것으로, 고정테이블(211)의 수직이동시에는 카세트(C)가 유동되지 않도록 에어실린더(233)를 작동시켜 누름레버(234)가 카세트(C)를 고정할 수 있도록 하고, 카세트 이송용 로봇(500)을 이용하여 카세트(C)를 웨이퍼 정렬챔버(400)로 이송시키거나 공정을 마친 카세트(C)를 고정테이블(211)로부터 빼내고자할 때에는 누름레버(234)의 고정상태를 해제시켜 카세트(C)의 이송을 가능하게 한다.
도8 내지 도14는 본 발명의 식각 장치에서 웨이퍼 정렬챔버(400) 내에 구비된 웨이퍼 정렬부(410)와 카세트 이송수단인 카세트 이송용 로봇(500)을 나타낸 것으로, 먼저 웨이퍼 정렬부(410)는 도8 내지 도12에 도시된 바와 같이 베이스(411)와 수직프레임(412)이 고정되어 이루어진 정렬테이블(413)을 구비하고, 이 정렬테이블(413)의 수직프레임(412)에는 카세트(C)가 놓여지는 정렬판(414)을 구비하며, 베이스(411)상에는 카세트(C)에 적재된 다수매의 웨이퍼(W)를 동시에 정렬하여 플랫존을 일치시키는 웨이퍼 정렬기(430)를 구비한다.
상기 정렬판(414)은 기어감속모터(415)에 의해 일축(416a)(416b)을 중심으로 90。 회동가능하게 설치되어 정렬판(414)에 놓여진 카세트(C)를 90。 회전시켜 적재된 웨이퍼(W)가 수직방향으로 세워진 상태로 웨이퍼 정렬기(430) 상에 놓여질 수 있도록 되어 있으며, 정렬판(414)의 양측에는 소형의 구동모터(417)에 의해 회전하는 고정바(418)가 각각 설치되어 카세트(C)의 일측을 고정하도록 되고, 카세트(C)가 놓여지는 바닥에는 카세트(C)의 받침다리가 삽입되는 미끄럼방지홈(414a)이 형성되어 카세트(C)가 웨이퍼 정렬기(230) 상에 놓여질 때 고정바(418)와 미끄럼방지홈(414a)에 의해 정렬판(414)으로부터 카세트(C)가 이탈되지 않도록 되어 있다.
따라서 카세트 공급실(200)로부터 카세트 이송용 로봇(500)에 의해 이송되어온 카세트(C)가 정렬판(414)에 놓여지게 되면, 구동모터(417)의 구동으로 양쪽의 고정바(418)가 카세트(C)를 눌러 고정하게 되고, 이어서 기어감속모터(415)의 구동으로 정렬판(414)이 90。 회전하는 것에 의해 카세트(C)가 웨이퍼 정렬기(430) 상에 놓여지므로 카세트(C)에 적재된 웨이퍼(W)를 동시에 정렬시킬 수 있는 것이며, 웨이퍼(W)의 정렬이 끝나게 되면 기어감속모터(415)의 역구동으로 정렬판(414)이 역방향으로 90。 회전하므로 카세트(C)는 다시 원위치되는 것이다.
또한 상기 웨이퍼 정렬기(430)는 도11 및 도12에 도시된 바와 같이 중앙의 회전봉이 양쪽의 회전봉보다 조금 낮게 설치된 3개의 회전봉(431)을 구비하고, 상기 중앙의 회전봉(431)은 한 쌍의 풀리(432a)(432b) 및 벨트(433)로 연결된 구동모터(434)에 의해 회전되도록 설치된 것으로, 웨이퍼 정렬기(430)에 카세트(C)가 놓여지게 되면 웨이퍼(W)의 외주연이 3개의 회전봉(431)에 접촉되고, 이러한 상태에서 중앙에 위치한 회전봉(431)이 구동모터(434)에 의해 회전되면, 중앙의 회전봉(431)에 외주연이 접촉되는 웨이퍼(W)는 회전하고, 중앙의 회전봉(431)에 플랫존이 위치하여 접촉하지 않는 웨이퍼(W)는 회전되지 않고 정지하는 것이므로 웨이퍼(W)의 플랫존이 중앙의 회전봉(431)쪽으로 모여 다수매의 웨이퍼(W)가 동시에 정렬되어진다.
한편, 카세트 이송용 로봇(500)은 도9, 도13 및 도14에 도시된 바와 같이 카세트(C)를 들어올리기 위한 핑거(510)와, 이 핑거(510)에 연결된 3개의 아암(520)과, 상,하방향으로의 이송 및 회전이 가능한 축(530)과, 상기 축(530)을 구동하기 위한 구동부(540)로 이루어진 것으로, 상기 정렬판(414)의 하부에 위치하여 구동부(540)가 베이스(411)상에 고정되어 설치된다.
따라서 구동부(540)의 구동에 의해 축(530)이 상,하방향으로 이동하므로 핑거(510)가 카세트(C)를 들어올리거나 내려놓을 수 있으며, 구동부(540)의 구동으로 축(530)이 정,역방향으로 회전되는 것에 의해 3개의 아암(520)이 모두 펴지거나 모두 접혀져 카세트 공급테이블(210)의 고정테이블(211) 상에 놓인 카세트(C)를 웨이퍼 정렬부(410)의 정렬판(414)으로 이송 및 반송시키게 되고, 또한 정렬판(414) 상의 카세트(C)를 로드락챔버(300)내의 엘리베이터(310)로 이송 및 반송시키게 된다.
한편, 상기 로드락챔버(300) 내에 구비된 엘리베이터(310)와 웨이퍼 이송용 로봇(320)은 통상적인 구성으로 이루어진 것으로, 도4에 도시된 바와 같이 카세트 이송용 로봇(500)에 의해 이동되어 놓여진 카세트(C)를 엘리베이터(310)가 상,하방향으로 이동시키게 되고, 웨이퍼 이송용 로봇(320)의 페취아암(Fetch Arm)(321)이 카세트(C)로부터 웨이퍼(W)를 하나씩 꺼내어 공정챔버(100)로 이송 및 반송시키게 된다.
도15 내지 도19는 본 발명의 식각 장치에서 웨이퍼(W)의 공정면이 하방을 향한 상태에서 식각 공정이 이루어지도록 된 공정챔버(100)를 나타낸 것으로, 소정의 밀폐공간이 형성된 챔버몸체(110)를 구비한다. 챔버몸체(110)의 일측에는 웨이퍼(W)가 출입하는 통로(101)가 형성되어 도어(102)에 의해 개폐되어지며, 챔버몸체(110)의 내측 상부에 웨이퍼(W)가 놓여지는 캐소우드(111)가 설치된다.
또한 챔버몸체(110) 내부로 공급된 웨이퍼(W)를 공정면이 하방을 향하도록 하여 상기 캐소우드(111)에 밀착시키는 웨이퍼 로딩수단이 구비된다. 웨이퍼 로딩수단은 공급된 웨이퍼(W)를 들어올려 웨이퍼 이송용 로봇(320)의 페취아암(321)으로부터 웨이퍼(W)를 인계받는 제 1 로딩위치(P1)로 이송시키는 로더(121)와, 페취아암(321)이 로드락챔버(300)로 원위치된 후 상기 로더(121)와 함께 웨이퍼(W)가 캐소우드(111)에 밀착되도록 제 2 로딩위치(P2)로 이송시키는 홀더(122)와, 상기 로더(121)와 홀더(122)를 각 위치로 이송시키는 구동수단으로 이루어진다.
상기 로더(121)와 홀더(122)는 도17에 도시된 바와 같이 캐소우드(111)의 외측에 설치되는 것으로, 로더(121)와 홀더(122)가 하나의 원통형상을 이루고, 로더(121)와 홀더(122)의 상,하이동이 가능하도록 캐소우드(111)에 로더(121)와 홀더(122)의 이송길이 만큼 홈(111a)이 형성되어 있다. 또한 로더(121)와 홀더(122)의 하단부에는 웨이퍼(W)의 가장자리부를 파지하여 웨이퍼(W)의 공정면이 손상되지 않도록 들어올리기 위한 리프터(123)(124)가 각각 고정되고, 로더(121)와 홀더(122)의 일측벽에는 개구(121a)(122a)가 형성되어 웨이퍼 이송용 로봇(320)의 페취아암(321)이 상기 개구(121a)(122a)를 통해 로더(121) 및 홀더(122) 내부로 웨이퍼(W)를 이송 및 반송시킬 수 있도록 되어 있다.
한편, 상기와 같은 로더(121) 및 홀더(122)를 이동시키는 구동수단은, 챔버몸체(110)의 외측 상부에 동축방향으로 적층설치된 제 1 및 제 2 실린더(130)(140)에 의해 이루어지는 것으로, 제 1 및 제 2 실린더(130)(140)는 도15 및 도16에 도시된 바와 같이 제 1 및 제 2 실린더하우징(136)(146)의 중앙으로 관통하여 하단부가 캐소우드(111)내에 위치하는 제 1 축(131)이 설치되며, 이 제 1 축(131)의 하단부에는 로더(121)의 상단 중앙이 고정되고, 상단부는 제 1 실린더하우징(136)의 외부로 관통하여 노출되어 있다.
또한 제 1 실린더하우징(136) 내에 위치하는 제 1 축(131)에는 피스톤(132)이 고정되고, 이 피스톤(132)의 하부에는 스프링(133)이 설치되어 피스톤(132)을 항상 상방으로 미는 스프링력을 작용하게 되며, 제 1 실린더하우징(136) 내의 피스톤(132) 상,하측 공간부에 에어공급라인(134)(135)이 각각 연결되어 선택적으로 에어를 공급하는 것에 의해 피스톤(132)이 에어압으로 상,하방향으로 이동하게 된다.
따라서 에어압에 의한 피스톤(132)의 이동으로 이와 고정된 제 1 축(131)이 상,하방향으로 이동하여 로더(121)의 상,하방향 이동을 가능하게 하므로 제 1 실린더(130)에 의해 로더(121)의 웨이퍼 로딩동작이 이루어지며, 스프링(133)은 로더(121)가 제 2 로딩위치(P2)에서 웨이퍼(W)를 고정할 때 웨이퍼(W)를 고정하는 가압력(스프링력)을 제공하는 것으로, 웨이퍼(W)에 무리한 힘이 가해져 손상되는 것을 방지하게 된다.
그리고 상기 제 1 축(131)의 외측에는 원통형상의 제 2 축(141)이 설치되고, 이 제 2 축(141)의 하단부는 캐소우드(111) 내에 위치하여 홀더(122)의 상단 중앙부에 고정되며, 상단부는 제 2 실린더하우징(146) 내에 위치하는 것으로, 제 2 실린더하우징(146) 내에 위치하는 제 2 축(141)에는 피스톤(142)이 고정되고, 이 피스톤(142)의 하부에는 스프링(143)이 설치되어 피스톤(142)을 항상 상방으로 미는 스프링력을 작용하도록 되어 있으며, 제 2 실린더하우징(146) 내의 피스톤(142) 상측 공간부에 에어공급라인(144)이 연결되어 선택적으로에어가 공급되는 것에 의해 피스톤(142)이 에어압으로 하방으로 이동하도록 되어 있다.
따라서 에어압에 의한 피스톤(142)의 이동으로 이와 고정된 제 2 축(141)이 하방으로 이동하므로 홀더(122)의 하방 이동을 가능하게 하며, 이때 스프링(143)이 압축되므로 에어공급라인(144)로 공급되는 에어를 중단하면, 스프링(143)의 탄성복원력으로 피스톤(142)이 상방으로 원위치되므로 제 2 축(141) 및 이에 고정된 홀더(121)의 상방 이동이 가능하게 되어 제 2 실린더(140)에 의해 홀더(122)의 웨이퍼 홀딩동작이 이루어지며, 홀더(122)에 의한 웨이퍼(W) 고정이 스프링(143)의 탄성복원력으로 이루어지게 된다.
이와 같이 상,하 이동되는 로더(121)는 웨이퍼(W)가 공급되기전에 위치하는 대기위치(P0)와, 공급된 웨이퍼(W)를 페취아암(321)으로부터 인계받는 제 1 로딩위치(P1)와, 웨이퍼(W)를 캐소우드(111)에 밀착시켜 고정하는 제 2 로딩위치(P2)로 이동하도록 위치제어수단에 의해 제어되는 것으로, 로더(121)의 위치제어수단은 제 1 실린더하우징(136)의 상부와, 이 상부로 노출된 제 1 축(131)의 상단에 각각 설치된 센서로 이루어진다.
상기 센서는 발광센서와 수광센서를 이용하는 것이 바람직하고, 1개의 발광센서(151)를 제 1 축(131)에 설치하고, 3개의 수광센서(152)를 제 1 실린더하우징(136) 상부에 수직방향으로 설치하되, 아래로부터 로더(121)의 대기위치(P0), 제 1 로딩위치(P1), 제 2 로딩위치(P2)와 동일한 간격으로 설치한다.
또한 홀더(122)는 웨이퍼(W)가 공급되기전에 위치하는 대기위치(P0)와, 웨이퍼(W)를 캐소우드(111)에 밀착시켜 고정하는 제 2 로딩위치(P2)로 이동하도록 위치제어수단에 의해 제어되는 것으로, 홀더(122)의 위치제어수단은 제 2 실린더(140)와 챔버몸체(110)의 상부 사이에 위치된 제 2 축(141)과 브라켓(153)에 각각 설치된 센서로 이루어진다.
상기 센서는 로더(121)의 위치제어수단과 마찬가지로 발광센서와 수광센서를 이용하는 것이 바람직하고, 1개의 발광센서(154)를 제 2 축(141)에 설치하고, 2개의 수광센서(155)를 브라켓(153) 수직방향으로 설치하되, 홀더(122)의 대기위치(P0)와 제 2 로딩위치(P2)와 동일한 간격으로 설치한다.
이러한 구성에 의한 웨이퍼(W)의 로딩동작은, 로더(121)와 홀더(122)가 대기위치(P0)에 위치된 상태에서 공정면이 하방으로 향하도록 한 웨이퍼(W)를 웨이퍼 이송용 로봇(320)의 페취아암(321)이 로더(121) 및 홀더(122)의 개구(121a)(122a)를 통해 내부로 공급하게 되면, 에어공급제어부(도시 안됨)가 제 1 실린더(130)의 에어공급라인(135)으로 에어가 공급되도록 하여 제 1 축(131)을 상승시키게 되므로 로더(121)의 리프터(123)가 상승하여 페취아암(321)으로부터 웨이퍼(W)를 들어올려 인계받는 것이고, 이와 동시에 제 1 축(131)에 설치된 발광센서(151)가 중간위치의 수광센서(152)에 위치되므로 수광센서(152)는 발광센서(151)로부터 광신호를 받아 에어공급제어부로 제공하게 되고, 에어공급제어부는 제 1 실린더(130)의 에어공급라인(134)(135)으로 공급되는 에어를 제어하여 제 1 축(131)을 정지시키게 되므로 로더(121)는 웨이퍼(W)를 인계받는 제 1 로딩위치(P1)에서 정지하게 된다.
이와 같이 로더(121)가 제 1 로딩위치(P1)에 정지한 상태에서 웨이퍼(W)를 인계한 페취아암(321)이 로드락챔버(300)쪽으로 이송되면, 다시 제 1 실린더(130)가 동작하여 로더(121)를 제 2 로딩위치(P2)로 이동시키게 되고, 전술한 바와 마찬가지로 제 1 축(131)에 설치된 발광센서(151) 및 이에 대향한 위치의 수광센서(152)에 의해 로더(121)가 제 2 로딩위치(P2)에서 정지되어 웨이퍼(W)를 캐소우드(111)에 밀착시키게 된다.
이때 상기 로더(121)가 제 1 로딩위치(P1)에서 제 2 로딩위치(P2)로 이동하는 것과 동시에 제 2 실린더(140)가 동작하여 제 2 축(141)을 상방으로 이동시키게 되므로 홀더(122)가 대기위치(P0)에서 제 2 로딩위치(P2)로 이동되고, 역시 제 2 축(141)에 설치된 발광센서(154) 및 이에 대향하는 수광센서(155)에 의해 홀더(122)가 제 2 로딩위치(P2)에서 정지되므로 홀더(122)의 리프터(124)가 웨이퍼(W)의 가장자리를 고정하여 웨이퍼(W)를 캐소우드(111)에 고정시킬 수 있는 것이며, 웨이퍼(W)는 공정면이 하방을 향한 상태로 공급되어 캐소우드에 위치되므로 공정면을 하방으로 둔상태에서 식각 공정을 수행할 수 있게 된다.
이때 웨이퍼(W)의 고정력은 제 1 및 제 2 실린더(130)(140)에 각각 설치된 스프링(133)(143)의 탄성복원력에 의해 이루어지므로 웨이퍼(W)에 무리한 고정력이 가해져 손상되는 것이 방지된다.
또한 웨이퍼(W)의 언로딩동작은, 웨이퍼(W)의 로딩동작의 역순으로 먼저, 제 1 및 제 2 실린더(130)(140)를 동시에 구동시켜 제 1 축(131) 및 제 2 축(141)을 하강시키면, 로더(121)는 발광센서(151) 및 수광센서(152)에 의해 제 1 로딩위치(P1)에서 정지하게 되고, 홀더(122)는 계속 하강하여 역시 발광센서(154) 및 이와 대응하는 수광센서(155)에 의해 대기위치(P0)에서 정지하게 된다.
이러한 상태에서 로드락챔버(300)에 구비된 웨이퍼 이송용 로봇(320)의 페취아암(321)이 로더(121) 및 홀더(122)의 개구(121a)(122a)를 통해 삽입되어 웨이퍼(W)의 하부에 위치하게 되면, 제 1 실린더(130)의 구동으로 제 1 축(131)이 계속 하강하게 되므로 웨이퍼(W)는 로더(121)의 리프터(123)로부터 페취아암(321)으로 인계되는 것이고, 로더(121)는 대기위치(P0)에서 정지하게 된다.
따라서 페취아암(321)은 로더(121)와 홀더(122)의 개구(121a)(122a)를 통해 웨이퍼(W)를 로드락챔버(300)의 엘리베이터(310) 상에 놓인 카세트(C)로 반송시키게 되고, 카세트(C)에 적재된 웨이퍼(W)가 순차적으로 공정챔버(100)로 공급되므로 상기와 같은 동작이 반복적으로 이루어져 식각 공정을 수행하게 된다.
도18은 도15의 A부 상세도로서 공정챔버(100)의 공정가스공급부를 나타낸 것으로, 웨이퍼(W)의 공정면이 하방을 향하도록 된 것이므로 공정가스를 챔버몸체(110)의 하부에서 공급하도록 되어 있다. 즉 챔버몸체(110)의 하부에 하부덮개(160)가 설치되고, 챔버몸체(110)와 하부덮개(160) 사이에는 하부덮개(160)와 소정의 틈새를 이루도록 가스분사판(161)이 설치되며, 상기 챔버몸체(110)와 가스분사판(161), 가스분사판(161)과 하부덮개(160) 사이에는 시일부재(162)(163)가 각각 개재되어 밀봉되어 있다.
또한 가스공급라인(164)은 챔버몸체(110)의 외측에서 챔버몸체(110)의 일측벽내부로 삽입되고, 가스공급라인(164)의 끝단은 가스분사판(161)을 관통하여 가스분사판(161)과 하부덮개(160) 사이에 위치되어 가스분사판(161)과 하부덮개(160) 사이로 가스를 공급하도록 되어 있다. 따라서 가스공급라인(164)을 통해 공급되는 공정가스는 가스분사판(161)에 형성된 가스분출공(161a)을 통해 챔버몸체(110)내로 공급되어진다.
또한 상기 하부덮개(160)는 수리점검 또는 클리닝시 챔버몸체(110)로부터 분리가능하게 설치된 것으로, 즉 도19A 및 도19B에 도시된 바와 같이 하부덮개(160)의 저면에 복수개의 보스(166)가 형성되고, 이 보스에는 받침다리(165)에 형성된 나사부가 삽입되어 설치되며, 복수개의 받침다리(165) 하단부에는 바퀴(167)가 각각 설치되어 있고, 받침다리(165)에는 각각 조절부(168)가 나사맞춤되어 받침다리(165)의 나사부를 따라 상,하이동하도록 되어 있다.
상기 바퀴(167)는 하측에 구비된 레일(169)을 따라 수평방향으로 직선이동 가능하게 된 구성이며, 레일(169)은 챔버몸체(110)의 바깥쪽으로 길게 연장되어 설치되고, 하부덮개(160)의 측면에는 손잡이(170)가 형성된 구성이다.
따라서 도19A에 도시된 바와 같이 받침다리(165)의 나사부에 나사맞춤된 조절부(168)를 회전시켜 상측으로 이동시키면 조절부(168)가 하부덮개(160)를 위로 밀어올리게 되므로 하부덮개(160)가 챔버몸체(110)의 하부에 밀착되어 내부를 밀폐시키는 것이고, 수리점검 또는 클리닝시에 하부덮개(160)를 분리하고자 하는 경우에는 조절부(168)를 회전시켜 하측으로 이동시키면 도19에 도시된 바와 같이 하부덮개(160)가 하방으로 이동하여 챔버몸체(110)로부터 분리되는 것이며, 이러한 상태에서 하부덮개(160) 일측의 손잡이(170)를 잡고 수평방향으로 당기게 되면 바퀴(167)가 레일(169)에 안내되므로 하부덮개(160)를 챔버몸체(110)로부터 쉽게 분리시켜 수평방향으로 이동시킬 수 있는 것이다.
이상에서와 같이 본 발명에 따른 반도체장치 제조용 식각 장치에 의하면, 웨이퍼의 공정면이 하방을 향한 상태로 카세트 공급실로부터 공정챔버로 이송 및 반송되고, 공정챔버 내에서도 공정면이 하방을 향한 상태에서 식각 공정이 수행됨으로써 주변에 존재하는 오염원에 의한 웨이퍼 공정면의 오염이 감소되는 것이고, 이로써 식각공정시 불량발생율이 낮아져 생산수율이 향상된다.
또한 카세트 공급실로부터 로드락챔버의 엘리베이터로 웨이퍼를 공급함에 있어 다수매의 웨이퍼가 카세트에 적재된 상태로 이송됨으로써 공정을 위한 웨이퍼의 이송시간이 단축되는 것이고, 더욱이 카세트에 적재된 다수매의 웨이퍼가 한꺼번에 정렬되어 웨이퍼 정렬시간이 단축됨으로써 전체 공정시간이 단축되어 설비의 가동율이 증가되고, 이로써 생산성이 향상되는 효과가 있다.
이상에서 본 발명은 기재된 구체예에 대해서만 상세히 설명되었지만 본 발명의 기술사상 범위 내에서 다양한 변형 및 수정이 가능함은 당업자에게 있어서 명백한 것이며, 이러한 변형 및 수정이 첨부된 특허청구범위에 속함은 당연한 것이다.

Claims (17)

  1. 공정면이 하방을 향하도록 하여 식각 공정을 수행하는 공정챔버;
    상기 공정챔버에 웨이퍼를 공급하기 위한 것으로, 다수매의 웨이퍼가 적재된 카세트가 놓여지는 카세트 공급테이블을 구비하는 카세트 공급실;
    상기 공정챔버와 카세트 공급실 사이에 구비되어 대기상태의 카세트 공급실로부터 진공상태의 공정챔버로 웨이퍼를 공급하기 위한 것으로, 카세트를 상,하방향으로 이송시키는 엘리베이터를 구비하고, 상기 카세트로부터 웨이퍼를 하나씩 꺼내어 공정챔버로 이송 및 반송시키는 웨이퍼 이송용 로봇을 구비하는 로드락챔버; 및
    상기 카세트 공급실의 카세트 공급테이블로부터 로드락챔버의 엘리베이터로 카세트를 이송 및 반송시키는 카세트 이송수단;
    을 포함하여 됨을 특징으로 하는 반도체 장치 제조용 식각 장치.
  2. 제 1 항에 있어서,
    상기 공정챔버는, 소정의 밀폐공간을 갖고 일측에 도어로 개폐되는 통로를 구비하며, 하부에 하부덮개가 개폐수단에 의해 분리가능하게 설치된 챔버몸체;
    상기 챔버몸체 내의 상부에 설치되어 공정을 위한 웨이퍼의 공정면이 하방을 향하도록 놓여지는 캐소우드;
    상기 공정챔버로 공급된 웨이퍼를 인계받아 캐소우드에 로딩 및 언로딩시키는 웨이퍼 로딩수단; 및
    상기 챔버몸체의 하부에 구비되어 내부로 공정가스를 공급하는 공정가스공급부;
    로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  3. 제 2 항에 있어서,
    상기 웨이퍼 로딩수단은, 대기위치에서 웨이퍼를 인계받는 제 1 로딩위치 및 웨이퍼를 캐소우드에 밀착시키는 제 2 로딩위치로 이동하는 로더;
    대기위치에서 웨이퍼를 캐소우드에 밀착시켜 고정시키는 제 2 로딩위치로 이동하는 홀더;
    상기 로더 및 홀더를 각 위치로 이동시키는 구동수단; 및
    상기 로더 및 홀더가 각 위치에 정확하게 정지할 수 있도록 하는 위치제어수단;
    으로 구성됨을 특징으로 하는 상기 반도체 제조용 식각 장치.
  4. 제 3 항에 있어서,
    상기 로더 및 홀더의 하단부에 웨이퍼의 가장자리부를 지지하여 이송 및 고정하는 리프터가 고정됨을 특징으로 하는 상기 반도체 제조용 식각 장치.
  5. 제 3 항에 있어서,
    상기 구동수단은, 챔버몸체의 외측 상부에 동축방향으로 적층설치되고, 내부에 소정의 밀폐공간을 갖는 제 1 및 제 2 실린더하우징;
    상기 제 1 및 제 2 실린더하우징의 중앙으로 관통하고, 하단부가 챔버몸체를 관통하여 로더의 상측 중앙부에 고정되며, 상단부는 제 1 실린더하우징의 외부로 노출된 제 1 축;
    상기 제 1 축의 외측에 설치되어 상단부가 제 2 실린더하우징 내에 위치하고, 하단부는 챔버몸체를 관통하여 홀더의 상측 중앙부에 고정되는 제 2 축;
    상기 제 1 및 제 2 실린더하우징내에 위치하는 제 1 및 제 2 축에 각각 고정되는 피스톤;
    상기 제 1 및 제 2 실린더하우징 내의 피스톤 하부에 설치되어 상방으로 작용하는 탄성력을 제공하는 스프링; 및
    상기 제 1 및 제 2 실린더하우징으로 에어압을 각각 제공하여 핀스톤을 상,하동작시키기 위해 연결된 에어공급라인;
    으로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  6. 제 5 항에 있어서,
    상기 로더의 위치제어수단은, 제 1 실린더하우징을 관통하여 상부로 노출된 제 1 축이 상단에 설치된 1개의 발광센서; 및
    상기 발광센서와 대향하는 위치에 설치되고, 로더의 대기위치, 제 1 로딩위치 및 제 2 로딩위치 이동거리와 동일한 간격으로 배치된 3개의 수광센서;
    로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  7. 제 5 항에 있어서,
    상기 홀더의 위치제어수단은, 제 2 실린더하우징과 챔버몸체 사이에 위치하는 제 2 축에 설치된 1개의 발광센서; 및
    상기 발광센서와 대향하는 위치에 설치되고, 홀더의 대기위치 및 제 2 로딩위치 이동거리와 동일한 간격으로 배치된 2개의 수광센서;
    로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  8. 제 2 항에 있어서,
    상기 공정가스공급부는, 챔버몸체의 하단부와 하부덮개 사이에 가스분출공이 형성된 가스분사판을 설치하되, 하부덮개 사이에 소정간격을 유지하도록 하고, 상기 챔버몸체, 가스분사판 및 하부덮개 사이는 각각 시일부재를 설치하여 챔버몸체내의 기밀을 유지하며, 상기 챔버몸체의 하부 측벽에 가스공급라인을 관통시켜 그 끝단이 하부덮개와 가스분사판 사이에 위치하여 가스분사판의 가스분출구로 가스가 분사되도록 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  9. 제 2 항에 있어서,
    상기 하부덮개의 개폐수단은, 하부덮개에 형성된 보스와, 상기 보스에 삽입되는 나사부를 갖는 받침다리와, 상기 나사부에 나사맞춤되어 회전방향에 따라 상,하이동하여 보스를 받쳐지지하는 조절부로 구성됨을 특징으로 하는 반도체장치 제조용 식각 장치.
  10. 제 9 항에 있어서,
    상기 받침다리의 하부에 설치된 바퀴와, 상기 바퀴를 안내하여 하부드럼을 챔버몸체로부터 수평방향으로 이격시키기 위한 레일을 더 포함하여 구성함을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  11. 제 1 항에 있어서,
    상기 카세트 공급테이블은, 받침테이블;
    상기 받침테이블에 축으로 관통되어 상,하 이송가능하게 설치되고, 카세트가 놓여지는 받침판과 양측 수직바로 이루어져 상,하방향으로 적층된 복수개의 고정테이블;
    상기 고정테이블에 놓인 카세트를 고정하는 고정수단; 및
    상기 고정테이블을 상,하방향으로 이송시키는 구동수단;
    으로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  12. 제 11 항에 있어서,
    상기 고정수단은, 고정테이블의 수직부 하단부에 에어실린더를 설치하고, 수직부의 상단부에는 카세트의 상부를 가압하는 누름레버를 설치하여 상기 에어실린더의 실린더로드를 누름레버에 연동가능하게 연결하여 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  13. 제 11 항에 있어서,
    상기 구동수단은, 상기 고정테이블의 하부로부터 연장형성된 수평판;
    하부가 받침테이블에 회전지지되고, 상부가 상기 수평판을 관통하여 설치된 볼스크류;
    상기 수평판과 볼스크류 사이에 설치되고 볼스큐류에 안내되어 볼스크류의 회전방향에 따라 수평판이 상,하이동하게 하는 볼베어링;
    상기 수평판을 관통하여 상,하방향 이송을 지지하게 되고, 하부가 받침테이블에 고정된 복수개의 가이드봉;
    상기 볼베어링을 회전시키기 위한 구동모터; 및
    상기 구동모터의 동력을 볼스크류에 전달하기 위한 한 쌍의 풀리 및 벨트;
    로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  14. 제 1 항에 있어서,
    상기 카세트 공급실과 로드락챔버 사이에 구비되어 카세트 공급실로부터 이송되어온 카세트 내의 다수매의 웨이퍼를 동시에 정렬하여 로드락챔버에 공급하는 웨이퍼 정렬부가 내부에 설치된 웨이퍼 정렬챔버를 더 포함하여 됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  15. 제 14 항에 있어서,
    상기 웨이퍼 정렬부는, 수직프레임이 고정된 베이스;
    상기 수직프레임에 회전가능하게 설치되고, 카세트가 놓여지는 정렬테이블;
    상기 베이스 상에 설치되어 카세트 내에 적재된 다수매의 웨이퍼를 동시에 정렬하여 플랫존을 일치시키는 웨이퍼 정렬기; 및
    상기 정렬테이블을 회전시켜 카세트를 웨이퍼 정렬기 상에 위치시키는 구
    동수단;
    으로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
  16. 제 14 항에 있어서,
    상기 정렬테이블은, 카세트의 하부가 놓이는 미끄럼방지홈을 구비하는 정렬판과, 상기 정렬판에 놓여진 카세트를 고정하는 고정수단으로 구성됨을 특징으로 하는 반도체장치 제조용 식각 장치.
  17. 제 14 항에 있어서,
    상기 카세트 이송수단은, 카세트 정렬부에 설치된 구동부에 구비되어 상,하방향 이송 및 회전운동이 가능한 축과, 카세트를 파지하는 핑거와, 상기 축과 핑거를 연결하는 복수개의 아암으로 구성됨을 특징으로 하는 상기 반도체장치 제조용 식각 장치.
KR1019960070900A 1996-12-24 1996-12-24 반도체장치 제조용 식각 장치 KR100234539B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1019960070900A KR100234539B1 (ko) 1996-12-24 1996-12-24 반도체장치 제조용 식각 장치
TW086109970A TW358221B (en) 1996-12-24 1997-07-15 Etching apparatus for manufacturing semiconductor devices
JP09315212A JP3107780B2 (ja) 1996-12-24 1997-11-17 半導体製造用エッチング装置
US08/996,100 US6340405B2 (en) 1996-12-24 1997-12-22 Etching apparatus for manufacturing semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019960070900A KR100234539B1 (ko) 1996-12-24 1996-12-24 반도체장치 제조용 식각 장치

Publications (2)

Publication Number Publication Date
KR19980051973A KR19980051973A (ko) 1998-09-25
KR100234539B1 true KR100234539B1 (ko) 1999-12-15

Family

ID=19490525

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960070900A KR100234539B1 (ko) 1996-12-24 1996-12-24 반도체장치 제조용 식각 장치

Country Status (4)

Country Link
US (1) US6340405B2 (ko)
JP (1) JP3107780B2 (ko)
KR (1) KR100234539B1 (ko)
TW (1) TW358221B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100555620B1 (ko) * 2003-10-28 2006-03-03 주식회사 디엠에스 기판 운반시스템 및 운반방법
KR101120497B1 (ko) 2002-11-15 2012-02-29 외를리콘 솔라 아게, 트뤼프바흐 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
US8741096B2 (en) 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
US9115425B2 (en) 2010-10-18 2015-08-25 Electronics And Telecommunications Research Institute Thin film depositing apparatus

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450660B1 (ko) * 1997-10-10 2004-11-16 삼성전자주식회사 물질수납용기
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6745093B1 (en) * 1999-03-17 2004-06-01 Hitachi, Ltd. Vacuum process apparatus and method of operating the same
US7335260B2 (en) 1999-10-29 2008-02-26 Lg.Philips Lcd Co., Ltd. Laser annealing apparatus
US6514339B1 (en) * 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6709522B1 (en) * 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
JP4150493B2 (ja) * 2000-08-22 2008-09-17 株式会社東芝 パターン描画装置における温度測定方法
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6684123B2 (en) * 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6695572B2 (en) * 2001-09-28 2004-02-24 Agere Systems Inc. Method and apparatus for minimizing semiconductor wafer contamination
KR100672634B1 (ko) * 2001-12-19 2007-02-09 엘지.필립스 엘시디 주식회사 액정표시소자의 기판 반송 장치 및 방법
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US7230441B2 (en) * 2002-07-18 2007-06-12 Rudolph Technologies, Inc. Wafer staging platform for a wafer inspection system
KR100487541B1 (ko) * 2002-09-06 2005-05-03 삼성전자주식회사 반도체기판의 세정/건조 공정에 사용되는 웨이퍼 가이드들
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7690379B2 (en) * 2004-06-01 2010-04-06 Branch, Banking and Trust Company Pressure indicator for positive pressure protection masks
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
KR100843103B1 (ko) * 2006-06-29 2008-07-02 주식회사 아이피에스 반도체공정장치
KR100902330B1 (ko) * 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
JP4635972B2 (ja) * 2006-06-29 2011-02-23 株式会社ニコン ロードロック装置、それを使用した方法及びウエハ接合システム
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR100765188B1 (ko) * 2006-11-30 2007-10-15 세메스 주식회사 기판 이송 장치, 기판 처리 장치 및 기판 처리 방법
KR100794684B1 (ko) * 2007-04-02 2008-01-15 (주)퓨전에이드 로드락 챔버
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
KR100918588B1 (ko) * 2007-09-19 2009-09-28 세메스 주식회사 파티클 배출 유닛 및 이를 포함하는 기판 이송 장치
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5676168B2 (ja) * 2010-07-14 2015-02-25 株式会社ディスコ 研削装置
JP5631755B2 (ja) * 2011-01-14 2014-11-26 パナソニック株式会社 プラズマ処理システム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
EP2631937A1 (de) * 2012-02-24 2013-08-28 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung E.V. Stationsanordnung zur Bearbeitung und/oder Vermessen von Halbleiterscheiben sowie Bearbeitungsverfahren
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101777A1 (de) * 2013-02-22 2014-08-28 Aixtron Se Vorrichtung zum Be- und Entladen einer CVD-Anlage
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170252991A1 (en) * 2016-03-03 2017-09-07 Juicero, Inc. Juicer with flexible seal
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
EP3361316A1 (de) * 2017-02-14 2018-08-15 VAT Holding AG Pneumatische stifthubvorrichtung und pneumatischer hubzylinder
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
KR102592920B1 (ko) 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109755159B (zh) * 2018-12-29 2021-02-02 武汉华星光电技术有限公司 干法刻蚀机台及干法刻蚀方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11555791B2 (en) * 2019-12-03 2023-01-17 Corning Incorporated Chamber for vibrational and environmental isolation of thin wafers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3765763A (en) * 1969-07-29 1973-10-16 Texas Instruments Inc Automatic slice processing
US4293249A (en) * 1980-03-03 1981-10-06 Texas Instruments Incorporated Material handling system and method for manufacturing line
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4842686A (en) * 1987-07-17 1989-06-27 Texas Instruments Incorporated Wafer processing apparatus and method
JPH069297A (ja) * 1991-12-09 1994-01-18 Sumitomo Electric Ind Ltd 成膜装置
KR100280947B1 (ko) * 1993-10-04 2001-02-01 마쓰바 구니유키 판 형상체 반송장치
US5464475A (en) * 1994-05-20 1995-11-07 Advanced Micro Devices, Inc. Work-in-process storage pod
JPH08213447A (ja) * 1995-02-06 1996-08-20 Tokyo Electron Ltd 被移載体の検出装置
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
JP3579228B2 (ja) * 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101120497B1 (ko) 2002-11-15 2012-02-29 외를리콘 솔라 아게, 트뤼프바흐 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
KR100555620B1 (ko) * 2003-10-28 2006-03-03 주식회사 디엠에스 기판 운반시스템 및 운반방법
US8741096B2 (en) 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
US9115425B2 (en) 2010-10-18 2015-08-25 Electronics And Telecommunications Research Institute Thin film depositing apparatus

Also Published As

Publication number Publication date
JP3107780B2 (ja) 2000-11-13
TW358221B (en) 1999-05-11
KR19980051973A (ko) 1998-09-25
JPH10321609A (ja) 1998-12-04
US6340405B2 (en) 2002-01-22
US20010037856A1 (en) 2001-11-08

Similar Documents

Publication Publication Date Title
KR100234539B1 (ko) 반도체장치 제조용 식각 장치
KR100515908B1 (ko) 피처리기판의위치맞춤장치및그에쓰이는피처리기판용이적장치
KR101292135B1 (ko) 시저 리프트 이송 로봇
TWI400753B (zh) A substrate processing apparatus and a substrate processing system
KR0165350B1 (ko) 반도체웨이퍼 공급장치
KR100822843B1 (ko) 기판접합장치
KR20100044606A (ko) 인라인 반도체 제조시스템
JP2011517134A (ja) クリーン移送ロボット
JP2008198882A (ja) 基板処理装置
JP2009206139A (ja) 基板処理装置
JP7474325B2 (ja) ウエハ搬送装置、およびウエハ搬送方法
TWI462215B (zh) 基板處理裝置、轉換方法、及轉移方法
KR101581993B1 (ko) 덮개 유지 지그
KR101502130B1 (ko) 반송장치, 그가 설치된 반송챔버 및 이를 포함하는진공처리시스템
JPH07335717A (ja) 被処理体のバッファ装置、これを用いた処理装置及びその搬送方法
JP4727393B2 (ja) 基板把持ハンド装置と基板把持方法及びそれを用いた基板搬送装置並びに基板処理装置
KR102616246B1 (ko) 처리액을 공급 및 회수하는 기판 처리 장치
KR100934761B1 (ko) 평판표시소자 제조장치
JP4028752B2 (ja) 統合型液晶ディスプレイパネル組立装置及び基板重ね合わせ装置
KR20220097144A (ko) 이송 장치
JP3578593B2 (ja) 基板整列装置
JP4568356B2 (ja) フープ洗浄乾燥装置
CN219040441U (zh) 一种传输装置
JPH10116869A (ja) ウエハ検査装置
CN220730632U (zh) 一种光刻机晶圆载台

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120831

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20130902

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee