JP3107780B2 - 半導体製造用エッチング装置 - Google Patents

半導体製造用エッチング装置

Info

Publication number
JP3107780B2
JP3107780B2 JP09315212A JP31521297A JP3107780B2 JP 3107780 B2 JP3107780 B2 JP 3107780B2 JP 09315212 A JP09315212 A JP 09315212A JP 31521297 A JP31521297 A JP 31521297A JP 3107780 B2 JP3107780 B2 JP 3107780B2
Authority
JP
Japan
Prior art keywords
cassette
wafer
chamber
etching apparatus
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP09315212A
Other languages
English (en)
Other versions
JPH10321609A (ja
Inventor
チョウル 奎 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JPH10321609A publication Critical patent/JPH10321609A/ja
Application granted granted Critical
Publication of JP3107780B2 publication Critical patent/JP3107780B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置製造用エ
ッチング(Etching)装置に関し、さらに詳しくは、ウェ
ーハを工程チャンバに移送させる工程とウェーハにエッ
チング工程を遂行する工程でウェーハの工程面に対する
汚染を減らすようにした半導体製造用エッチング装置に
関する。
【0002】
【従来の技術】一般に、半導体装置はフォトリソグラフ
ィー(Photo Lithography)、エッチング、薄膜形成工程
などの工程を繰り返して遂行することにより製造され、
このような工程のうちエッチング工程はウェーハ上の不
要な膜を除去する工程であり、大きく分けるとケミカル
(Chemical)を利用する(液体を用いる)ウェットエッチ
ング法とプラズマ(plasma)を利用した(気体を用いた)
ドライエッチング法に区分される。
【0003】図18及び図19は、前記のようなエッチ
ング工程において従来のドライエッチング装置の構成を
概略的に示す図面である。
【0004】前記ドライエッチング装置は、エッチング
工程を遂行するための複数個の工程チャンバ1と、前記
工程チャンバ1にウェーハWを供給するためのウェーハ
供給部2と、前記工程チャンバ1とウェーハ供給部2と
の間に設置されて大気状態のウェーハ供給部2から真空
状態の工程チャンバ1にウェーハWを供給するためのロ
ードロックチャンバ(Load Lock chamber)3と、ウェ
ーハWが工程チャンバ1内に常時一定の位置に供給され
ることができるようにウェーハWのフラットゾーン(fla
t zone)を整列させるためのアライナ(Aligner)4とから
なる。
【0005】前記工程チャンバ1の内部にはカソード5
が備えられて、当該カソード5の上面に工程面を上方に
向かうようにしたウェーハWが載置されている。内部環
境は上部のガス供給部11から工程ガスが供給されると
同時に、内部にプラズマを形成してウェーハWの工程面
に対するエッチング工程が行われ、内部を高真空状態の
まま保持して安定したエッチング工程が行われる。
【0006】上記ロードロックチャンバ3は、内部にエ
レベーター6とロボット7が備えられたものであり、ロ
ボット7のフェッチアーム(fetch arm)11がウェーハ
供給部2のカセット8に積載された全てのウェーハWを
エレベーター6のウェーハトレイ9に積載させる。ま
た、ロードロックチャンバ3は、上下方向に移送される
エレベーター6からウェーハWを一枚ずつ取り出してア
ライナ4を通じてフラットゾーンを整列させた後、工程
チャンバ1に移送させてエッチング工程が遂行されるよ
うにする。工程を終えたウェーハは再びエレベーター6
のウェーハトレイ9に積載され、全ての工程が終わると
再びウェーハ供給部2に全部返送される。
【0007】この際、ウェーハ供給部2は大気状態であ
り、工程チャンバ1は高真空状態を保持しているので、
ウェーハ供給部2からロードロックチャンバ3内部のウ
ェーハトレイ9にウェーハWを移送させるときには、工
程チャンバ1とロードロックチャンバー3との間を遮断
して密閉させ、且つロードロックチャンバ3を大気状態
にして、ウェーハWの移送を可能にする。前記ロードロ
ックチャンバ3から工程チャンバ1にウェーハを移送さ
せるときには、ウェーハ供給部2の間を遮断して密閉さ
せ、且つロードロックチャンバ3の内部を真空状態にし
て、ロードロックチャンバ3と工程チャンバ1との圧力
差を減らした後、ウェーハWを移送させる。
【0008】そして、ウェーハ供給部2は少なくとも一
つ以上のカセット8が載置されるテーブル10が備えら
れ、当該テーブル10は左右移動(図18の矢印)が可能
になるようになって複数個のカセット8に積載されたウ
ェーハWを順次供給することができる。
【0009】このようなエッチング装置を利用してエッ
チング工程の遂行に際して、ウェーハWの工程面に対す
る汚染は工程時に不良を誘発させるので、ロードロック
チャンバ3及び工程チャンバ1の内部は勿論、カセット
8の移動工程においても周辺環境を清浄に保持してウェ
ーハWが汚染されることを最大限に抑えなければならな
い。
【0010】
【発明が解決しようとする課題】しかし、汚染源を完全
に除去することができないので、工程チャンバ1及びロ
ードロックチャンバ3を含んだ周辺環境には常時微少量
の汚染源が存在する。また、従来のエッチング装置はウ
ェーハWの工程面が上方向の状態でエッチング工程が進
行されるので、工程チャンバ1内のパチクル(particle)
などの汚染源がウェーハWの工程面に付着してウェーハ
を汚染させ、且つウェーハをウェーハ供給部2から工程
チャンバ1まで移送させる工程においてもウェーハWの
工程面が上方向の状態で移送及び返送されるので、ウェ
ーハ工程面に汚染源が付着してウェーハが汚染されるこ
とによりエッチング工程時に不良率が増加して歩留まり
を低下させる。
【0011】尚、ウェーハ供給部2から工程チャンバ1
までウェーハWを移送させる工程を説明すると、ウェー
ハ供給部2のカセット8に積載されたウェーハWを一枚
ずつ取り出してロードロックチャンバ3内のウェーハト
レイ9に順次挿入させる。ウェーハトレイ9のウェーハ
Wを一枚ずつ取り出してアライナ4に移送させてフラッ
トゾーンを整列させた後に工程チャンバ1に移送させ
る。この際、ウェーハの移送及びウェーハのフラットゾ
ーン整列がウェーハの一枚一枚の単位でなされるので、
全体的に工程時間が長くなり装置の稼動率及び生産性を
低下させる問題点があった。
【0012】本発明は、このような従来の問題点を解決
するためのもので、その目的は、ウェーハをウェーハ供
給部から工程チャンバに移送及び返送させる工程と、工
程チャンバ内で工程の進行される間、周辺環境の汚染源
がウェーハの工程面に付着してウェーハが汚染されるこ
とを減らすことにより、汚染による工程不良を防止して
生産歩留まりを増大させる半導体製造用エッチング装置
を提供することにある。
【0013】本発明の他の目的は、ウェーハを工程チャ
ンバに移送させることにかかる時間とウェーハのフラッ
トゾーンを整列させることにかかる時間を短縮させて迅
速な工程が行われるようにすることにより、装置の稼働
率及び生産性を増大させる半導体製造用エッチング装置
を提供することにある。
【0014】
【課題を解決するための手段】前記目的を達成するため
に、請求項1記載の第1の発明は、真空状態でウェーハ
の工程面が下方向の状態でエッチング工程を遂行する工
程チャンバと、前記ウェーハを多数枚積載したカセット
の載置されるカセット供給テーブルを備えた大気状態の
カセット供給室と、前記工程チャンバとカセット供給室
との間に備えられ、前記カセット供給室のカセット供給
テーブルに載置されたカセットを上下方向に移送させる
エレベーターおよび当該カセットからウェーハを一枚ず
つ取り出して前記工程チャンバに移送及び返送させるウ
ェーハ移送用ロボットを備えたロードロックチャンバ
と、前記カセット供給室に備えられたカセット供給テー
ブルに載置される前記カセットを前記ロードロックチャ
ンバのエレベーターに移送及び返送させるカセット移送
手段とを含んでなることを要旨とする。従って、汚染に
よる工程不良を防止して生産歩留まりを増大させる。ま
た、ウェーハを工程チャンバに移送させることにかかる
時間とウェーハのフラットゾーンを整列させることにか
かる時間を短縮させて迅速な工程が行われるようにする
ことにより、装置の稼働率及び生産性を増大させる。
【0015】請求項2記載の第2の発明は、前記工程チ
ャンバは、所定の密閉空間を持ち、一側壁にドアによっ
て開閉される通路を備え、下部に下部カバーが開閉手段
によって分離可能に設置されたチャンバ胴体と、前記チ
ャンバ胴体内の上部に設置されて工程のためのウェーハ
の工程面が下方向の状態で載置されるカソードと、前記
工程チャンバに供給されたウェーハを引き継いで前記カ
ソードにローディング及びアンローディングさせるウェ
ーハローディング手段と、前記チャンバ胴体の下部に備
えられて内部に工程ガスを供給する工程ガス供給部とか
ら構成されることを要旨とする。
【0016】請求項3記載の第3の発明は、前記ウェー
ハローディング手段は、待機位置でウェーハを引き継ぐ
第1ローディング位置及びウェーハをカソードに密着さ
せる第2ローディング位置に移動させるローダと、前記
待機位置でウェーハをカソードに密着させて固定させる
第2ローディング位置に移動させるホルダーと、前記ロ
ーダ及びホルダーを各位置に移動させる駆動手段と、前
記ローダ及びホルダーが各位置に正確に停止させる位置
制御手段とから構成されることを要旨とする。
【0017】請求項4記載の第4の発明は、前記ローダ
及びホルダーの下端部に、ウェーハの縁部を支持して当
該ウェーハを移送及び固定するリフターの固定されるこ
とを要旨とする。
【0018】請求項5記載の第5の発明は、前記駆動手
段は、チャンバ内の外側上部に同軸方向に積層設置さ
れ、内部に所定密閉空間を持つ第1及び第2シリンダー
ハウジングと、前記第1及び第2シリンダーハウジング
の中央を貫通し、下端部がチャンバ胴体を貫通して前記
ローダの上側中央部に固定され、上端部は第1シリンダ
ーハウジングの外側に露出された第1軸と、前記第1軸
の外側に設置され、上端部が第2シリンダーハウジング
内に位置し且つ下端部はチャンバ胴体を貫通してホルダ
ーの中央部に固定される第2軸と、前記第1及び第2シ
リンダーハウジング内に位置する第1及び第2軸にそれ
ぞれ固定されるピストンと、前記第1及び第2シリンダ
ーハウジング内のピストン下部に設置されて上方向に作
用させる弾性力を提供するスプリングと、前記第1及び
第2シリンダーハウジングにエア圧をそれぞれ提供して
ピストンを上下方向に動作させるために連結されたエア
供給ラインとからなることを要旨とする。
【0019】請求項6記載の第6の発明は、前記ローダ
の位置制御手段は、第1シリンダーハウジングを貫通し
て上部に露出された第1軸の上端に設置された少なくと
も1個の発光センサーと、前記発光センサーと向き合う
位置に設置され、前記ローダの待機位置、第1ローディ
ング位置、及び第2ローディング位置の移動距離と同一
の間隔で配置された少なくとも3個の受光センサーとか
らなることを要旨とする。
【0020】請求項7記載の第7の発明は、前記ホルダ
ーの位置制御手段は、第2シリンダーハウジングとチャ
ンバ胴体との間に位置する前記第2軸に設置された少な
くとも1個の発光センサーと、前記発光センサーと向き
合う位置に設置され、前記ホルダーの待機位置及び第2
ローディング位置移動距離と同一の間隔で配置された少
なくとも2個の受光センサーとからなることを要旨とす
る。
【0021】請求項8記載の第8の発明は、前記工程ガ
ス供給部は、前記チャンバ胴体の下端部と下部カバーと
の間にガス噴出孔の形成されたガス噴射板を設置し、下
部カバーの間に所定の間隔を保持し、前記チャンバ胴
体、ガス噴射板、及び下部カバーの間はそれぞれシール
部材を設置することによりチャンバ胴体内の気密を保持
し、前記チャンバ胴体の下部側壁にガス供給ラインを貫
通させて、その終端が前記下部カバーと前記ガス噴射板
との間に位置することにより当該ガス噴射板のガス噴出
孔にガスが噴射されるように構成されることを要旨とす
る。
【0022】請求項9記載の第9の発明は、前記下部カ
バーの開閉手段は、下部カバーに形成されたボスと、前
記ボスに挿入されるねじ部を持つケーシング脚と、前記
ねじ部に螺合されて回転方向によって上下方向に移動し
つつボスを支える調節部とからなることを要旨とする。
【0023】請求項10記載の第10の発明は、前記ケ
ーシング脚の下部に設置された車輪と、前記車輪を案内
して下部カバーをチャンバ胴体から水平方向に離隔させ
るレールとをさらに含んでなることを要旨とする。
【0024】請求項11記載の第11の発明は、前記カ
セット供給テーブルは、支えテーブルと、前記支えテー
ブルに軸として貫通して上下方向に移送可能に設置さ
れ、カセットが載置される支え板と両側垂直バーからな
って上下方向に積層された複数個の固定テーブルと、前
記固定テーブルに載置されるカセットを固定する固定手
段と、前記固定テーブルを上下方向に移送させる駆動手
段とからなることを要旨とする。
【0025】請求項12記載の第12の発明は、前記固
定手段は、前記固定テーブルの垂直部下端部にエアシリ
ンダーが設置され、垂直部の上端部にはカセットの上部
を加圧する押圧レバーが設置されて当該エアシリンダー
のロッドを押圧レバーに連動可能に連結して構成される
ことを要旨とする。
【0026】請求項13記載の第13の発明は、前記駆
動手段は、前記固定テーブルの下部に形成された水平板
と、下部が前記支えテーブルに回転支持され、上部が前
記水平板を貫通して設置されたボールスクリューと、前
記水平板とボールスクリューとの間に設置され、当該ボ
ールスクリューに案内されてボールスクリューの回転方
向によって水平板が上下移動するボールベアリングと、
前記水平板を貫通して上下方向への移送を支持し、下部
が支えテーブルに固定された複数個のガイド棒と、前記
ボールベアリングを回転させる駆動モータと、前記駆動
モータの動力をボールスクリューに伝達する一対のプー
リー及びベルトとからなることを要旨とする。
【0027】請求項14記載の第14の発明は、前記カ
セット供給室とロードロックチャンバとの間に備えられ
て当該カセット供給室から移送されてきたカセット内の
多数枚のウェーハを同時に整列して当該ロードロックチ
ャンバに供給するウェーハ整列部が内部に設置されたウ
ェーハ整列チャンバをさらに含んでなることを要旨とす
る。
【0028】請求項15記載の第15の発明は、前記ウ
ェーハ整列部は、垂直フレームの固定されたベースと、
前記垂直フレームに回転可能に設置され、カセットの載
置される整列テーブルと、前記ベース上に設置されてカ
セット内に積載された多数枚のウェーハを同時に整列し
てフラットゾーンを一致させるウェーハ整列器と、前記
整列テーブルを回転させてカセットをウェーハ整列器上
に位置させる駆動手段とからなることを要旨とする。
【0029】請求項16記載の第16の発明は、前記整
列テーブルは、前記カセットの下部に設置されて滑り防
止溝を備える整列板と、前記整列板に載置されたカセッ
トを固定する固定手段とからなることを要旨とする。
【0030】請求項17記載の第17の発明は、前記カ
セット移送手段は、前記カセット整列部に設置された駆
動部に備えられて上下方向への移送及び回転運動の可能
な軸と、前記カセットを把持するフォークと、前記軸と
フォークを連結する複数個のアームとからなることを要
旨とする。
【0031】
【発明の実施の形態】以下、添付した図面を参照して本
発明の具体的な実施の形態を詳細に説明する。
【0032】図1及び図2は本発明による半導体製造用
エッチング装置の内部構成を概略的に示す図面である。
【0033】本発明のエッチング装置は、ウェーハの処
理、加工等が行われるウェーハの工程面が下方に向かう
(下方向)状態でエッチング工程を遂行する工程チャン
バ100と、前記工程チャンバ100にウェーハWを供
給するカセット供給室200とを備えている。前記カセ
ット供給室200内には、ウェーハWの工程面を下方向
の状態で多数枚積載させたカセットCの載置されるカセ
ット供給テーブル210が設置されている。
【0034】前記工程チャンバ100とカセット供給室
200との間には、大気状態のカセット供給室200か
ら真空状態の工程チャンバ100にウェーハを供給する
ためのロードロックチャンバ300が備えられている。
前記ロードロックチャンバ300内には、内部に移送さ
れてきたカセットCを上下方向に移動させるエレベータ
ー310と、前記カセットCからウェーハWを一枚ずつ
取り出して工程チャンバ100に移送及び返送させるウ
ェーハ移送用ロボット320とを備えている。
【0035】前記カセット供給室200とロードロック
チャンバ300との間にウェーハ整列チャンバ400が
備えられ、このウェーハ整列チャンバ400内には、カ
セットCに積載された多数枚のウェーハWを同時に整列
してフラットゾーンを一致させるウェーハ整列部410
と、カセット供給室200からウェーハ整列チャンバ4
00及びロードロックチャンバ300にカセットCを移
送及び返送させるカセット移送手段とが備えられ、当該
カセット移送手段は後述するカセット移送用ロボット5
00を備えている。
【0036】このようなカセット供給室200、ウェー
ハ整列チャンバ400、ロードロックチャンバ300、
及び工程チャンバ10はそれぞれ独立に構成されて隣接
して設置されたものである。前記カセット供給室200
の図中左側壁にカセットCを出入りさせることができる
サイズの通路201を形成し、ドア202によって開閉
される。前記ウェーハ整列チャンバ400とロードロッ
クチャンバ300との間及びカセット供給室200とウ
ェーハ整列チャンバ400との間の側壁には、それぞれ
カセットCを通過させて移送及び返送させることができ
るサイズの通路301,401を形成してドア302,
402によって開閉される。前記ロードロックチャンバ
300と工程チャンバ100との間の側壁には一枚のウ
ェーハWが通過し得る通路101を設けてドア102に
よって開閉される。
【0037】従って、ウェーハ整列チャンバ400に備
えられたカセット移送用ロボット500を利用して、カ
セット供給室200のカセット供給テーブル210から
ウェーハ整列チャンバ400のウェーハ整列部410に
カセットCを移送させるときには、ウェーハ整列チャン
バ400とロードロックチャンバ300との間の通路3
01をドア302で閉鎖し、カセット供給室200とウ
ェーハ整列チャンバ400との間の通路401を開放し
て、この通路401を通じてカセットCをウェーハ整列
部410に移送させ、カセットCに積載された多数枚の
ウェーハWを同時に整列させる。
【0038】前記ウェーハWの整列を終えると、カセッ
ト供給室200とウェーハ整列チャンバ400との間の
通路401をドア402により閉鎖し、ウェーハ整列チ
ャンバ400とロードロックチャンバ300との間のド
ア301を開放した後、カセット移送用ロボット500
を利用してカセットCをロードロックチャンバ300内
のエレベーター310に移送させる。
【0039】前記エレベーター310に移送されたカセ
ットCから工程チャンバ100にウェーハWを供給する
ときには、工程チャンバ100が高真空状態を保持して
いるので、ウェーハ整列チャンバ400とロードロック
チャンバ300との間の通路301をドア302で閉鎖
させる。前記ロードロックチャンバ300と工程チャン
バ100との圧力差を減らすようにロードロックチャン
バ300を真空状態にした後、ウェーハ移送用ロボット
320を利用して工程チャンバ100への通路101を
介して一枚ずつウェーハWを供給することにより、工程
チャンバ100内でエッチング工程を遂行する。尚、工
程チャンバ100内でエッチング工程を終えたウェーハ
Wは、再びウェーハ移送用ロボット320によってエレ
ベーター310上のカセットCに積載される。
【0040】このような方式でカセットCに積載された
全てのウェーハWを順次に工程チャンバ100に供給し
てエッチング工程を遂行する。このとき、カセットCが
載置されたエレベーター310は、ウェーハ移送用ロボ
ット320がウェーハWを順次に一枚ずつ取り出し、且
つ収納できるように上下方向に移動する。
【0041】前記エッチング工程を終えた後、エレベー
ター310上のカセットCに積載されたウェーハの返送
工程は、ロードロックチャンバ300と工程チャンバ1
00との間の通路101を閉鎖させ、当該ロードロック
チャンバ300の内部を大気状態にして、ロードロック
チャンバ300の通路301及びウェーハ整列チャンバ
400の通路401を開放させる。開放後、この通路3
01,401を通じてカセット移送用ロボット500
は、エレベーター310上のカセットCをカセット供給
室200のカセット供給テーブル210に返送させる。
【0042】この際、ウェーハWをカセット供給室20
0から工程チャンバ100に移送及び返送させる工程に
おいて、常時、ウェーハWの工程面が図中下方向の状態
で移送させ、工程チャンバ内でもウェーハWの工程面が
下方向の状態のままエッチング工程が行われるようにす
る。これにより、ウェーハWの移送及び返送工程または
ウェーハWのエッチング工程においてウェーハWの工程
面に汚染源が付着することを減少される。また、カセッ
ト供給室200からロードロックチャンバ300までカ
セットCが移送されるので、ウェーハWを移送及び返送
させる時間が短縮され、且つウェーハ整列チャンバ40
0内でカセットCに積載された多数枚のウェーハWが同
時に整列するので、ウェーハWのフラットゾーン整列時
間が短縮される。
【0043】図3乃至図5は前述した本発明のエッチン
グ装置におけるカセット供給室200に備えられたカセ
ット供給テーブル210を示した図面である。
【0044】ウェーハWの工程面が下方に向かうように
多数枚のウェーハWを積載したカセットCが載置される
複数個の固定テーブル211を上下方向に配置し、この
複数個の固定テーブル211は、下部の支えテーブル2
12上から垂直方向に移送可能に設置する。つまり、下
側の固定テーブル211下部に固定された支持軸213
を下部の支えテーブル212に貫通させて支持軸213
の半径方向(図中水平方向)に支持させ、垂直移送手段に
よって固定テーブル211が自動に制御されて垂直方向
に所定高さだけ移送されるようにする。
【0045】前記垂直移送手段は、下側の固定テーブル
211下部から延長された水平板214に貫通して設置
されたボールスクリュー221と、前記水平板214に
固定設置されてボールスクリュー221に案内されるボ
ールベアリング222と、前記ボールスクリュー221
を回転させる駆動モーター223と、前記駆動モータ2
23の回転力をボールスクリュー221に伝達する一対
のプーリー224a,224b及びベルト225とから
なり、ボールスクリュー221の左右両側に、水平板2
14を貫通して下端部が支えテーブル212に固定され
るガイド棒226を設置して、当該水平板214がボー
ルスクリュー221に沿って直線移動することを可能に
する。
【0046】故に、駆動モータ223の回転駆動によっ
て一対のプーリー224a,224b及びベルト225
で連結されたボールスクリュー221が回転すると、こ
れに案内されるボールベアリング222によって複数個
の固定テーブル211が垂直方向に移動される。前記ボ
ールスクリュー221の左右両側に設置されている2本
のガイド棒226によって固定テーブル211は、支持
軸213を中心として回転せずに直線移動が可能にな
り、当該支持軸213は固定テーブル211の直線移動
を支持するようになる。
【0047】このように、垂直移動する固定テーブル2
11は駆動モータ223の回転駆動によって、図2に示
すように、ウェーハ整列チャンバ400との間の通路4
01の任意の位置に存在するので、カセット移送用ロボ
ット500でカセットCを移送及び返送させることがで
きる。なお、前記複数個の固定テーブル211は図面に
2個が設置されているが、これに限らずにそれ以上の個
数も設置しうる。
【0048】一方、カセットCが載置されるそれぞれの
固定テーブル211は、支え板231と、この支え板2
31の両側に固定された垂直バー232とからなり、垂
直移動時にカセットCの流動及び滑りを防止するための
固定手段が備えられている。前記固定手段は、図中上側
の垂直バー232の下部に回動可能に設置されたエアシ
リンダー233と、両側の垂直バー232上部に回動可
能に設置された押圧レバー234とからなり、エアシリ
ンダー233のロッド233aは、押圧レバー234の
自由端部に連動可能に連結されている。
【0049】従って、図5に示すように、エアシリンダ
ー233の作動でロッド233aが直線移動することに
よって押圧レバー234が回動するので、支え板231
上に載置されたカセットCの上面を押して固定するか、
または固定解除することが可能である。尚、固定テーブ
ル211の垂直移動時にはカセットCが流動されないよ
うにエアシリンダー233を作動させて押圧レバー23
4がカセットCを固定するようにして、カセット移送用
ロボット500を利用してカセットCをウェーハ整列チ
ャンバ400に移送させる。一方、工程を終えたカセッ
トCを固定テーブル211から抜き取るときには、押圧
レバー234の固定状態を解除させてカセットCの移送
を可能にする。
【0050】図6乃至図12は本発明のエッチング装置
におけるウェーハ整列チャンバ400内に備えられたウ
ェーハ整列部410とカセット移送手段であるカセット
移送用ロボット500とを示す図面である。
【0051】まず、ウェーハ整列部410は、図6乃至
図10に示すように、ベース411と垂直フレーム41
2が固定された整列テーブル413を備え、この整列テ
ーブル413の垂直フレーム412にはカセットCが載
置される整列板414を備え、ベース411上にはカセ
ットCに積載された多数枚毎のウェーハWを同時に整列
してフラットゾーンを一致させるウェーハ整列器430
を備えている。
【0052】前記整列板414はギヤ減速モーター41
5によって軸416a,416bを中心として90°回
動可能に設置されて整列板414に載置されたカセット
Cを90°回転させることによって、積載されたウェー
ハWが垂直方向に立てられた状態のままウェーハ整列器
430上に載置されるようになっており、整列板414
の両側には小型の駆動モーター417によって回転する
固定バー418がそれぞれ設置されてカセットCの一側
を固定している。また、前記整列板414のカセットC
が載置される底面にはカセットCのケーシング脚が挿入
される滑り防止溝414aが形成されて、当該カセット
Cがウェーハ整列器430上に載置されるときに固定バ
ー418と滑り防止溝414aによって整列板414か
らカセットCが離脱されないようになっている。
【0053】故に、カセット供給室200からカセット
移送用ロボット500によって移送されてきたカセット
Cが整列板414に載置されると、駆動モーター417
の回転駆動によって両側の固定バー418がカセットC
を押して固定し、続いてギヤ減速モーター415の駆動
によって整列板414が90°回転することによりカセ
ットCがウェーハ整列器430上に載置される。これに
より、カセットCに積載されたウェーハWを同時に整列
させることができ、ウェーハWの整列が終わると、ギヤ
減速モータ415の逆駆動によって整列板414が逆方
向に90°回転されるのでカセットCは再び元の位置に
戻る。
【0054】また、前記ウェーハ整列器430は、図9
及び図10に示すように、中央の回転棒が両側の回転棒
より少し低く設置された3個の回転棒431を備えてい
る。前記中央の回転棒431は、一対のプーリー432
a,432b及びベルト433に連結された駆動モータ
434によって回転されるように設置されたものであ
る。前記ウェーハ整列器430にカセットCが載置され
ると、ウェーハWの外周縁が3個の回転棒431に接触
される。このような状態で中央に位置した回転棒431
が駆動モータ434によって回転されると、当該中央の
回転棒431に外周縁が接触されるウェーハWは回転さ
れる。前記中央の回転棒431にフラットゾーンに位置
して接触しないウェーハWは回転せずに停止するので、
ウェーハWのフラットゾーンが中央の回転棒431方に
集まって多数枚毎のウェーハWが同時に整列される。
【0055】一方、カセット移送用ロボット500は、
図7、図11及び図12に示すように、カセットCを取
り上げるためのフォーク510と、このフォーク510
に連結された3個のアーム520と、上下方向への移送
及び回転の可能な軸530と、前記軸530を駆動する
ための駆動部540とからなる。前記整列板414の下
部に位置した駆動部540がベース411上に固定され
て設置される。
【0056】従って、前記駆動部540の駆動によって
軸530が上下方向に移動するので、フォーク510が
カセットCを取り上げ且つ下ろすことができる。駆動部
540の駆動によって軸530が正、逆方向に回転する
ことにより3個のアーム520が完全に伸び、且つ折ら
れて、カセット供給テーブル210の固定テーブル21
1上に載置されたカセットCをウェーハ整列部410の
整列板414に移送及び返送させる。更に、カセット移
送用ロボット500は、整列板414上のカセットCを
ロードロックチャンバ300内のエレベーター310に
移送及び返送させる。
【0057】一方、前記ロードロックチャンバ300内
に備えられたエレベーター310とウェーハ移送用ロボ
ット320は、通常の構成でなされたものである。図2
に示すようにカセット移送用ロボット500によって移
動されて載置されたカセットCは、エレベーター310
により上下方向に移動され、ウェーハ移送用ロボット3
20のフェッチアーム(Fetch Arm)321(図2参照)が
カセットCからウェーハWを一枚ずつ取り出して工程チ
ャンバ100に移送及び返送させる。
【0058】図13乃至図17は本発明のエッチング装
置におけるウェーハWの工程面が下方向の状態でエッチ
ング工程が行われるようになった工程チャンバ100を
示す図面である。
【0059】前記工程チャンバ100は、所定の密閉空
間を形成するチャンバ胴体110を備えている。前記チ
ャンバ胴体110の図中左側にはウェーハWが出入りす
る通路101が形成されてドア102によって開閉され
る。尚、ウェーハWの載置されるカソード111は、チ
ャンバ胴体110の内側上部に設置されている。
【0060】また、チャンバ胴体110には、内部に供
給されたウェーハWの工程面が下方を向くようにして前
記カソード111に密着させるウェーハローディング手
段が備えられている。前記ウェーハローディング手段
は、供給されたウェーハWを取り上げてウェーハ移送用
ロボット320のフェッチアーム321からウェーハW
を引き継ぐ第1ローディング位置P1に移送させるロー
ダ121と、フェッチアーム321(図2参照)がロー
ドロックチャンバー300の元の位置に戻した後に前記
ローダ121と共に、ウェーハWがカソード111に密
着されるように第2ローディング位置P2に移送させる
ホルダー122と、前記ローダ121とホルダー122
をそれぞれの位置に移送させる駆動手段とからなる。
【0061】前記ローダ121とホルダー122は図1
5に示すようにカソード111の外側に設置されるもの
であり、当該ローダ121とホルダー122が一つの円
筒形状を形成し、ローダ121とホルダー122の上下
移動が可能になるようにカソード111にローダ121
とホルダー122の移送長さと同程度の長さを有する溝
111aが形成されている。また、ローダ121とホル
ダー122の下端部にはウェーハWの縁部を把持してウ
ェーハWの工程面が損傷されないように取り上げるため
のリフター123,124がぞれぞれ固定されている。
前記ローダ121とホルダー122の一側壁には開口1
21a,122aが形成されてウェーハ移送用ロボット
320のフェッチアーム321(図2参照)は、前記開
口121a,122aを通じてローダ121及びホルダ
ー122内部にウェーハWを移送及び返送させることが
できる。
【0062】一方、前記のようなローダ121及びホル
ダー122を移動させる駆動手段は、チャンバ胴体11
0の外側上部に同軸方向に積層設置された第1及び第2
シリンダー130,140によってなされるものであ
る。前記第1及び第2シリンダー130,140には、
図13及び図14に示すように第1及び第2シリンダー
ハウジング136,146の中央部が貫通され、下端部
がカソード111内に位置する第1軸131が設置され
ている。この第1軸131の下端部はローダ121の上
端中央が固定され、上端部は第1シリンダーハウジング
136の外部に貫通されて露出されている。
【0063】また、第1シリンダーハウジング136内
に位置する第1軸131にはピストン132が固定さ
れ、このピストン132の下部にはスプリング133が
設置されてピストン132を常時上方向に押すスプリン
グ力を作用させ、第1シリンダーハウジング136内の
ピストン132上、下側空間部にエア供給ライン13
4,135がそれぞれ連結されて選択的(任意)にエアを
供給することによりピストン132がエア圧によって
上、下方向に移動するようになる。
【0064】従って、エア圧によるピストン132の移
動に応じて、当該ピストン132に固定されている第1
軸が131上、下方向に移動してローダ121の上、下
方向移動を可能にするので、第1シリンダー130によ
ってローダ121のウェーハローディング動作が行われ
る。前記スプリング133はローダ121が第2ローデ
ィング位置P2でウェーハWを固定するときに当該ウェ
ーハWを固定する復元力(スプリング力)を提供するもの
であり、ウェーハWに過大な力が加えられて損傷される
ことを防止する。
【0065】そして、前記第1軸131の外側には円筒
形状の第2軸141が設置され、この第2軸141の下
端部はカソード111内を貫通してホルダー122の上
端中央部に固定され、上端部は第2シリンダーハウジン
グ146内に位置するものである。前記第2シリンダー
ハウジング146内に位置する第2軸141にはピスト
ン142が固定されている。このピストン142の下部
にはスプリング143が設置され、ピストン142を常
時上方向に押すスプリング力を作用させるようになって
おり、第2シリンダーハウジング146内のピストン1
42上側空間部にエア供給ライン144が連結されて選
択的(任意)にエアが供給されることによりピストン14
2がエア圧のため下方向に移動するようになっている。
【0066】故に、エア圧によるピストン142の移動
によって当該ピストン142に固定された第2軸141
が下方向に移動するので、ホルダー122の下方移動を
可能にする。この際、スプリング143が圧縮されるの
で、エア供給ライン144に供給されるエアを中断する
と、スプリング143の弾性復元力によってピストン1
42が上方の元の位置に戻るので、第2軸141及びこ
れに固定されたホルダー121の上方移動が可能になる
第2シリンダー140によってホルダー122のウェー
ハホルディング動作が行われ、ホルダー122によるウ
ェーハW固定がスプリング143の弾性復元力によって
なされる。
【0067】このように、上下移動されるローダ121
は、ウェーハWが供給される前に位置する待機位置PO
と、フェッチアーム321から供給されたウェーハWを
引き継ぐ第1ローディング位置P1と、ウェーハWをカ
ソード111に密着させて固定する第2ローディング位
置P2とに移動するように位置制御手段によって制御さ
れるものである。前記ローダ121の位置制御手段は、
第1シリンダーハウジング136の上部と、この上部に
露出された第1軸131の上端とにそれぞれ設置された
センサーからなる。
【0068】前記センサは発光センサーと受光センサー
を利用するのが好ましく、1個の発光センサー151が
第1軸131に設置され、3個の受光センサー152が
第1シリンダーハウジング136上部に対して垂直方向
に設置されている。前記発光センサ151、受光センサ
152は、下からローダ121の待機位置PO、第1ロ
ーディング位置P1及び第2ローディング位置P2と同
一の間隔で設置する。
【0069】また、ホルダー122はウェーハWが供給
される前に位置する待機位置POと、ウェーハWをカソ
ード111に密着させて固定する第2ローディング位置
P2とに移動するように位置制御手段によって制御され
るものである。更に、ホルダー122の位置制御手段
は、第2シリンダー140とチャンバ胴体110の上部
との間に位置した第2軸141とブラケット153とに
それぞれ設置されたセンサーからなる。
【0070】前記センサーは、ローダ121の位置制御
手段と同様に発光センサーと受光センサーを利用した方
が好ましく、1個の発光センサー154を第2軸141
に設置し、2個の受光センサー155をブラケット15
3の垂直方向に設置するが、ホルダー122の待機位置
POと第2ローディング位置P2とは同一の間隔で設置
する。
【0071】このような構成によるウェーハWのローデ
ィング動作は、ローダ121とホルダー122が待機位
置POに位置した状態で工程面が下方向の状態にしたウ
ェーハWをウェーハ移送用ロボット320のフェッチア
ーム321がローダ121及びホルダー122の開口1
21a,122aを通じて内部に供給されると、エア供
給制御部(図示せず)が第1シリンダー130のエア供給
ライン135にエアが供給されるようにして第1軸13
1を上昇させる。これにより、ローダ121に固定され
たリフター123が上昇してフェッチアーム321から
ウェーハWを取り上げて引き継ぐ。これと同時に第1軸
131に設置された発光センサー151が中間位置の受
光センサー152に位置する。従って、受光センサー1
52は発光センサー151から光信号を受けてエア供給
制御部に提供する。前記エア供給制御部は第1シリンダ
ー130のエア供給ライン134,135に供給される
エアを制御して第1軸131を停止させるので、ローダ
121はウェーハWを引き継ぐ第1ローディング位置P
1で停止する。
【0072】このように、ローダ121が第1ローディ
ング位置P1に停止した状態でウェーハWを引き継ぐが
せるフェッチアーム321がロードロックチャンバ30
0の方に移送されると、再び第1シリンダー130が動
作してローダ121を第2ローディング位置P2に移動
させる。上述したように第1軸131に設置された発光
センサー151及びこれに向き合う(対向する)位置の
受光センサー152によってローダ121は、第2ロー
ディング位置P2で停止されてウェーハWをカソード1
11に密着させる。
【0073】この際、前記ローダ121が第1ローディ
ング位置P1から第2ローディング位置P2に移動する
と同時に第2シリンダー140が動作されて第2軸14
1を上方に移動させるので、ホルダー122が待機位置
POから第2ローディング位置P2に移動されるととも
に、第2軸141に設置された発光センサー154及び
これに対向する受光センサー155によってホルダー1
22が第2ローディング位置P2で停止される。これに
より、ホルダー122のリフター124は、ウェーハW
の縁部を固定してウェーハWをカソード111に密着さ
せることができる。ウェーハWは工程面が下方に向かう
状態に供給されてカソード111に位置されるので、工
程面が下方向の状態でエッチング工程を遂行することが
できる。
【0074】この時、ウェーハWの固定力は第1及び第
2シリンダー130,140にそれぞれ設置されたスプ
リング133,143の弾性復元力によってなされるの
で、ウェーハWに過大な固定力が加えられずに損傷され
ることが防止される。
【0075】更に、ウェーハWのアンローディング動作
は、ウェーハWのローディング動作の逆順である。ま
ず、第1及び第2シリンダー130,140を同時に駆
動させて第1軸131及び第2軸141を下降させると
ローダ121は、発光センサー151及び受光センサ1
52によって第1ローディング位置P1で停止され、ホ
ルダー122は続いて下降して発光センサー154及び
これと対応する受光センサー155によって待機位置P
Oで停止される。
【0076】このような状態において、ロードロックチ
ャンバ300に備えられたウェーハ移送用320のフェ
ッチアーム321は、ローダ121及びホルダー122
の開口121a,122aを通じて挿入されてウェーハ
Wの下部に位置すると、第1シリンダー130の駆動に
よって第1軸131が続いて下降する。これにより、ウ
ェーハWは、ローダ121のリフター123からフェッ
チアーム321に引き継がれ、ローダ121は待機位置
POで停止される。
【0077】従って、フェッチアーム321は、ローダ
121とホルダー122の開口121a,122aを通
じてウェーハWをロードロックチャンバ300のエレベ
ーター310上に載置されたカセットCに返送させる。
カセットCに積載されたウェーハWは、順次に工程チャ
ンバ100に供給されるので、前記のような動作が繰り
返して行われてエッチング工程を遂行する。
【0078】図16は図13のA部詳細図であり、工程
チャンバ100の工程ガス供給部を示す図面である。
【0079】ウェーハWの工程面が下方向の状態になる
ので、工程ガスをチャンバ胴体110の下部で供給す
る。つまり、チャンバ胴体110の下部に下部カバー1
60が設置され、チャンバ胴体110と下部カバー16
0との間には下部カバー160と所定の隙間を持つよう
にガス噴射板161が設置され、前記チャンバ胴体11
0とガス噴射板161との間、及びガス噴射板161と
下部カバー160との間にはシール部材162,163
がそれぞれ介在され密封されている。
【0080】そして、ガス供給ライン164はチャンバ
胴体110の外部からチャンバ胴体110の一側壁内部
に挿入され、当該ガス供給ライン164の終端はガス噴
射板161を貫通してガス噴射板161と下部カバー1
60との間に位置し、ガス噴射板161と下部カバー1
60との間へガスを供給する。したがって、ガス供給ラ
イン164を通じて供給される工程ガスは、ガス噴射板
161に形成されたガス噴出孔161aを通じてチャン
バ胴体110内に供給される。
【0081】そして、前記下部カバー160は修理点検
またはクリーニング時にチャンバ胴体110から分離可
能に設置されたものである。すなわち、図17(A)及
び図17(B)に示すように、下部カバー160の底面
に複数個のボス166が形成され、このボスにはケーシ
ング脚165に形成されたねじ部が挿入されて設置さ
れ、複数個のケーシング脚165の下端部には車輪16
7がそれぞれ設置されており、当該ケーシング脚165
にはそれぞれ調節部168が螺合されてケーシング脚1
65のねじ部に沿って上、下方向に移動するようになっ
ている。
【0082】前記車輪167は図中下側に備えられたレ
ール169に沿って図中水平方向に直線移動が可能にな
り、レール169はチャンバ胴体110の外部へ長く伸
びて設置され、下部カバー160の側面には取っ手17
0が形成されている。
【0083】故に、図17(A)に示すように、ケーシ
ング脚165のねじ部に螺合された調節部168を回転
させてケーシング脚165を上側に移動させると、調節
部168が下部カバー160を上方向に押し上げるの
で、下部カバー160はチャンバ胴体110の底部に密
着されて内部を密閉させる。尚、修理点検或いはクリー
ニング時に下部カバー160を分離しようとする場合に
は、調節部168を回転させてケーシング脚165を下
側に移動させる。下部カバー160が下方に移動してチ
ャンバ胴体110から分離され、この状態で下部カバー
160一側の取っ手170をつかんで水平方向に引く
と、車輪167がレール169に案内されるので、下部
カバー160をチャンバ胴体110から容易に分離させ
て水平方向に移動できる。
【0084】
【発明の効果】以上説明したように、本発明による半導
体製造用エッチング装置は、ウェーハの工程面が下方向
の状態でカセット供給室から工程チャンバに移送及び返
送され、工程チャンバ内でも工程面が下方向の状態でエ
ッチング工程が遂行されることにより、周辺に存在する
汚染源によるウェーハ工程面の汚染が減少し、これによ
りエッチング工程時に不良発生率が低くなって生産歩留
まりが向上する。
【0085】また、カセット供給室からロードロックチ
ャンバのエレベーターにウェーハの供給に際して、多数
枚のウェーハがカセットに積載された状態のまま移送さ
れることにより、工程のためのウェーハの移送時間が短
縮され、さらにカセットに積載された多数枚のウェーハ
が一度に整列して、ウェーハ整列時間が短縮されること
により、全体工程時間が短縮されて設備の稼働率が増加
し、これにより生産性が向上する効果を奏する。
【0086】以上、本発明は記載された具体的な例に対
してのみ詳細に説明したが、本発明の技術思想の範囲内
で多様な変形及び修正が可能なのは当業者にとっては明
らかなことであり、このような変形及び修正が特許請求
範囲に属するものである。
【図面の簡単な説明】
【図1】本発明によるエッチング装置の内部構成を概略
的に示す平面図である。
【図2】本発明によるエッチング装置の内部構成を概略
的に示す縦断面図である。
【図3】本発明によるエッチング装置のカセット供給テ
ーブルを示す斜視図である。
【図4】本発明によるエッチング装置のカセット供給テ
ーブルを示す正面図である。
【図5】図4の要部拡大図である。
【図6】本発明によるエッチング装置のウェーハ整列部
を示す斜視図である。
【図7】本発明によるエッチング装置のウェーハ整列部
を示す縦断面図である。
【図8】本発明によるエッチング装置のウェーハ整列部
におけるカセット固定手段を示す図7のVIII−VIII線断
面図である。
【図9】本発明によるエッチング装置のウェーハ整列部
における整列テーブルの駆動状態を示す縦断面図であ
る。
【図10】本発明によるエッチング装置のウェーハ整列
部におけるウェーハ整列器の駆動状態を示す断面図であ
る。
【図11】本発明によるエッチング装置のカセット移送
用ロボットの駆動状態を示す平面図である。
【図12】本発明によるエッチング装置のカセット移送
用ロボットの駆動状態を示す平面図である。
【図13】本発明によるエッチング装置における工程チ
ャンバの構成と動作状態を示す縦断面図である。
【図14】本発明によるエッチング装置における工程チ
ャンバの構成と動作状態を示す縦断面図である。
【図15】本発明によるエッチング装置の工程チャンバ
におけるウェーハローディング手段を示す分解斜視図で
ある。
【図16】図13のA部詳細図である。
【図17】本発明によるエッチング装置の工程チャンバ
における下部カバーの分離工程を示す動作状態図であ
る。
【図18】従来のエッチング装置の内部構成を概略的に
示す平面図である。
【図19】従来のエッチング装置の内部構成を概略的に
示す縦断面である。
【符号の説明】
100 工程チャンバ 101,201,301,401 通路 102,202,302,402 ドア 110 チャンバ胴体 111 カソード 121 ローダ 122 ホルダー 123,124 リフター 130,140 第1及び第2シリンダー 131,141 軸 151,154 発光センサー 152,155 受光センサー 160 下部カバー 161 ガス噴射板 164 ガス供給ライン 167 車輪 169 レール 170 取っ手 200 カセット供給室 210 カセット供給テーブル 211 固定テーブル 212 支えテーブル 221 ボールスクリュー 222 ボールベアリング 233 エアシリンダー 234 押圧レバー 300 ロードロックチャンバ 310 エレベーター 320 ウェーハ移送用ロボット 321 フェッチアーム 400 ウェーハ整列チャンバ 410 ウェーハ整列部 411 ベース 413 整列テーブル 414 整列板 430 ウェーハ整列器 500 カセット移送用ロボット 510 フォーク 520 アーム 530 軸 540 駆動部 W ウェーハ C カセット PO 待機位置 P1 第1ローディング位置 P2 第2ローディング位置
フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 B65G 49/07 H01L 21/68

Claims (17)

    (57)【特許請求の範囲】
  1. 【請求項1】 真空状態でウェーハの工程面が下方向の
    状態でエッチング工程を遂行する工程チャンバと、 前記ウェーハを多数枚積載したカセットの載置されるカ
    セット供給テーブルを備えた大気状態のカセット供給室
    と、 前記工程チャンバとカセット供給室との間に備えられ、
    前記カセット供給室のカセット供給テーブルに載置され
    たカセットを上下方向に移送させるエレベーターおよび
    当該カセットからウェーハを一枚ずつ取り出して前記工
    程チャンバに移送及び返送させるウェーハ移送用ロボッ
    トを備えたロードロックチャンバと、 前記カセット供給室に備えられたカセット供給テーブル
    に載置される前記カセットを前記ロードロックチャンバ
    のエレベーターに移送及び返送させるカセット移送手段
    とを含み、 前記工程チャンバは、工程チャンバに供給されたウェー
    ハを引き継いでカソードに対してローディング及びアン
    ローディングさせるウェーハローディング手段を備え、 前記ウェーハローディング手段は、 待機位置とウェーハを引き継ぐ第1ローディング位置と
    ウェーハをカソードに密着させる第2ローディング位置
    との間で移動可能なローダと、 前記待機位置とウェーハをカソードに密着させて固定さ
    せる第2ローディング位置との間で移動可能なホルダー
    と、 前記ローダ及びホルダーを各位置に移動させる駆動手段
    と、 前記ローダ及びホルダーを各位置に正確に停止させるた
    めの位置制御手段とからなり、 前記駆動手段は、 チャンバ内の外側上部に同軸方向に積層設置され、内部
    に所定密閉空間を持つ第1及び第2シリンダーハウジン
    グと、 前記第1及び第2シリンダーハウジングの中央を貫通
    し、下端部がチャンバ胴体を貫通して前記ローダの上側
    中央部に固定され、上端部は第1シリンダーハウジング
    の外側に露出された第1軸と、 前記第1軸の外側に設置され、上端部が第2シリンダー
    ハウジング内に位置し且つ下端部はチャンバ胴体を貫通
    してホルダーの中央部に固定される第2軸と、 前記第1及び第2シリンダーハウジング内に位置する第
    1及び第2軸にそれぞれ固定されるピストンと、 前記第1及び第2シリンダーハウジング内のピストン下
    部に設置されて上方向に作用させる弾性力を提供するス
    プリングと、 前記第1及び第2シリンダーハウジングにエア圧をそれ
    ぞれ提供してピストンを上下方向に動作させるために連
    結されたエア供給ラインと、 からなることを特徴とする半導体製造用エッチング装
    置。
  2. 【請求項2】 前記工程チャンバは、 所定の密閉空間を持ち、一側壁にドアによって開閉され
    る通路を備え、下部に下部カバーが開閉手段によって分
    離可能に設置されたチャンバ胴体と、 前記チャンバ胴体内の上部に設置されて工程のためのウ
    ェーハの工程面が下方向の状態で載置されるカソード
    と、 前記チャンバ胴体の下部に備えられて内部に工程ガスを
    供給する工程ガス供給部と、 から構成されることを特徴とする請求項1記載の半導体
    製造用エッチング装置。
  3. 【請求項3】 前記ローダ及びホルダーの下端部に、ウ
    ェーハの縁部を支持して当該ウェーハを移送及び固定す
    るリフターが固定されることを特徴とする請求項1記載
    の半導体製造用エッチング装置。
  4. 【請求項4】 前記ローダの位置制御手段は、 第1シリンダーハウジングを貫通して上部に露出された
    第1軸の上端に設置された少なくとも1個の発光センサ
    ーと、 前記発光センサーと向き合う位置に設置され、前記ロー
    ダの待機位置、第1ローディング位置、及び第2ローデ
    ィング位置の移動距離と同一の間隔で配置された少なく
    とも3個の受光センサーと、 からなることを特徴とする請求項1記載の半導体製造用
    エッチング装置。
  5. 【請求項5】 前記ホルダーの位置制御手段は、 第2シリンダーハウジングとチャンバ胴体との間に位置
    する前記第2軸に設置された少なくとも1個の発光セン
    サーと、 前記発光センサーと向き合う位置に設置され、前記ホル
    ダーの待機位置及び第2ローディング位置の移動距離と
    同一の間隔で配置された少なくとも2個の受光センサー
    と、 からなることを特徴とする請求項1記載の半導体製造用
    エッチング装置。
  6. 【請求項6】 前記工程ガス供給部は、前記チャンバ胴
    体の下端部と下部カバーとの間にガス噴出孔の形成され
    たガス噴射板を設置し、下部カバーの間に所定の間隔を
    保持し、前記チャンバ胴体、ガス噴射板、及び下部カバ
    ーの間はそれぞれシール部材を設置することによりチャ
    ンバ胴体内の気密を保持し、前記チャンバ胴体の下部側
    壁にガス供給ラインを貫通させて、その終端が前記下部
    カバーと前記ガス噴射板との間に位置することにより当
    該ガス噴射板のガス噴出孔にガスが噴射されるように構
    成されることを特徴とする請求項2記載の半導体製造用
    エッチング装置。
  7. 【請求項7】 前記下部カバーの開閉手段は、 下部カバーに形成されたボスと、 前記ボスに挿入されるねじ部を持つケーシング脚と、 前記ねじ部に螺合されて回転方向によって上下方向に移
    動しつつボスを支える調節部と、 からなることを特徴とする請求項2記載の半導体製造用
    エッチング装置。
  8. 【請求項8】 前記ケーシング脚の下部に設置された車
    輪と、 前記車輪を案内して下部カバーをチャンバ胴体から水平
    方向に離隔させるレールと、 をさらに含んでなることを特徴とする請求項7記載の半
    導体製造用エッチング装置。
  9. 【請求項9】 前記カセット供給テーブルは、 支えテーブルと、 前記支えテーブルに軸として貫通して上下方向に移送可
    能に設置され、カセットが載置される支え板と両側垂直
    バーからなって上下方向に積層された複数個の固定テー
    ブルと、 前記固定テーブルに載置されるカセットを固定する固定
    手段と、 前記固定テーブルを上下方向に移送させる駆動手段と、 からなることを特徴とする請求項1記載の半導体製造用
    エッチング装置。
  10. 【請求項10】 前記固定手段は、 前記固定テーブルの垂直部下端部にエアシリンダーが設
    置され、垂直部の上端部にはカセットの上部を加圧する
    押圧レバーが設置されて当該エアシリンダーのロッドを
    押圧レバーに連動可能に連結して構成されることを特徴
    とする請求項9記載の半導体製造用エッチング装置。
  11. 【請求項11】 前記固定テーブルを上下方向に移送さ
    せる駆動手段は、 前記固定テーブルの下部に形成された水平板と、 下部が前記支えテーブルに回転支持され、上部が前記水
    平板を貫通して設置されたボールスクリューと、 前記水平板とボールスクリューとの間に設置され、当該
    ボールスクリューに案内されてボールスクリューの回転
    方向によって水平板が上下移動するボールベアリング
    と、 前記水平板を貫通して上下方向への移送を支持し、下部
    が支えテーブルに固定された複数個のガイド棒と、 前記ボールベアリングを回転させる駆動モータと、 前記駆動モータの動力をボールスクリューに伝達する一
    対のプーリー及びベルトと、 からなることを特徴とする請求項9記載の半導体製造用
    エッチング装置。
  12. 【請求項12】 前記カセット供給室とロードロックチ
    ャンバとの間に備えられて当該カセット供給室から移送
    されてきたカセット内の多数枚のウェーハを同時に整列
    して当該ロードロックチャンバに供給するウェーハ整列
    部が内部に設置されたウェーハ整列チャンバをさらに含
    んでなることを特徴とする請求項1記載の半導体製造用
    エッチング装置。
  13. 【請求項13】 前記ウェーハ整列部は、 垂直フレームの固定されたベースと、 前記垂直フレームに回転可能に設置され、カセットの載
    置される整列テーブルと、 前記ベース上に設置されてカセット内に積載された多数
    枚のウェーハを同時に整列してフラットゾーンを一致さ
    せるウェーハ整列器と、 前記整列テーブルを回転させてカセットをウェーハ整列
    器上に位置させる駆動手段と、 からなることを特徴とする請求項12記載の半導体製造
    用エッチング装置。
  14. 【請求項14】 前記整列テーブルは、 前記カセットの下部に設置されて滑り防止溝を備える整
    列板と、 前記整列板に載置されたカセットを固定する固定手段
    と、 からなることを特徴とする請求項13記載の半導体製造
    用エッチング装置。
  15. 【請求項15】 前記カセット移送手段は、 前記カセット整列部に設置された駆動部に備えられて上
    下方向への移送及び回転運動の可能な軸と、 前記カセットを把持するフォークと、 前記軸とフォークを連結する複数個のアームと、 からなることを特徴とする請求項1記載の半導体製造用
    エッチング装置。
  16. 【請求項16】 真空状態でウェーハの工程面が下方向
    の状態でエッチング工程を遂行する工程チャンバと、 前記ウェーハを多数枚積載したカセットの載置されるカ
    セット供給テーブルを備えた大気状態のカセット供給室
    と、 前記工程チャンバとカセット供給室との間に備えられ、
    前記カセット供給室のカセット供給テーブルに載置され
    たカセットを上下方向に移送させるエレベーターおよび
    当該カセットからウェーハを一枚ずつ取り出して前記工
    程チャンバに移送及び返送させるウェーハ移送用ロボッ
    トを備えたロードロックチャンバと、 前記カセット供給室に備えられたカセット供給テーブル
    に載置される前記カセットを前記ロードロックチャンバ
    のエレベーターに移送及び返送させるカセット移送手段
    と、 前記カセット供給室とロードロックチャンバとの間に備
    えられて当該カセット供給室から移送されてきたカセッ
    ト内の多数枚のウェーハを同時に整列して当該ロードロ
    ックチャンバに供給するウェーハ整列部が内部に設置さ
    れたウェーハ整列チャンバとを含み、 前記ウェーハ整列部は、 垂直フレームの固定されたベースと、 前記垂直フレームに回転可能に設置され、カセットの載
    置される整列テーブルと、 前記ベース上に設置されてカセット内に積載された多数
    枚のウェーハを同時に整列してフラットゾーンを一致さ
    せるウェーハ整列器と、 前記整列テーブルを回転させてカセットをウェーハ整列
    器上に位置させる駆動手段と、 からなることを特徴とする半導体製造用エッチング装
    置。
  17. 【請求項17】 真空状態でウェーハの工程面が下方向
    の状態でエッチング工程を遂行する工程チャンバと、 前記ウェーハを多数枚積載したカセットの載置されるカ
    セット供給テーブルを備えた大気状態のカセット供給室
    と、 前記工程チャンバとカセット供給室との間に備えられ、
    前記カセット供給室のカセット供給テーブルに載置され
    たカセットを上下方向に移送させるエレベーターおよび
    当該カセットからウェーハを一枚ずつ取り出して前記工
    程チャンバに移送及び返送させるウェーハ移送用ロボッ
    トを備えたロードロックチャンバと、 前記カセット供給室に備えられたカセット供給テーブル
    に載置される前記カセットを前記ロードロックチャンバ
    のエレベーターに移送及び返送させるカセット移送手段
    とを含み、 前記工程チャンバは、工程チャンバに供給されたウェー
    ハを引き継いでカソードに対してローディング及びアン
    ローディングさせるウェーハローディング手段を備え、 前記ウェーハローディング手段は、 待機位置とウェーハを引き継ぐ第1ローディング位置と
    ウェーハをカソードに密着させる第2ローディング位置
    との間で移動可能なローダと、 前記待機位置とウェーハをカソードに密着させて固定さ
    せる第2ローディング位置との間で移動可能なホルダー
    と、 前記ローダ及びホルダーを各位置に移動させる駆動手段
    と、 前記ローダ及びホルダーを各位置に正確に停止させるた
    めの位置制御手段とからなり、 前記ローダ及びホルダーの下端部に、ウェーハの縁部を
    支持して当該ウェーハを移送及び固定するリフターが固
    定され、前記ローダのリフターは、前記第1ローディン
    グ位置で前記ウェーハ移送用ロボットからウェーハを取
    り上げて、その後第2ローディング位置でウェーハをカ
    ソードに密着させて固定させ、前記ホルダーは、前記ロ
    ーダが前記第1ローディング位置から前記第2ローディ
    ング位置に移動すると同時に前記待機位置から前記第2
    ローディング位置に移動して、そのリフターによりウェ
    ーハをカソードに密着させて固定させるようにしたこと
    を特徴とする半導体製造用エッチング装置。
JP09315212A 1996-12-24 1997-11-17 半導体製造用エッチング装置 Expired - Fee Related JP3107780B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1996-70900 1996-12-24
KR1019960070900A KR100234539B1 (ko) 1996-12-24 1996-12-24 반도체장치 제조용 식각 장치

Publications (2)

Publication Number Publication Date
JPH10321609A JPH10321609A (ja) 1998-12-04
JP3107780B2 true JP3107780B2 (ja) 2000-11-13

Family

ID=19490525

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09315212A Expired - Fee Related JP3107780B2 (ja) 1996-12-24 1997-11-17 半導体製造用エッチング装置

Country Status (4)

Country Link
US (1) US6340405B2 (ja)
JP (1) JP3107780B2 (ja)
KR (1) KR100234539B1 (ja)
TW (1) TW358221B (ja)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450660B1 (ko) * 1997-10-10 2004-11-16 삼성전자주식회사 물질수납용기
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6745093B1 (en) * 1999-03-17 2004-06-01 Hitachi, Ltd. Vacuum process apparatus and method of operating the same
US6514339B1 (en) * 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US7335260B2 (en) 1999-10-29 2008-02-26 Lg.Philips Lcd Co., Ltd. Laser annealing apparatus
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6709522B1 (en) * 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
JP4150493B2 (ja) * 2000-08-22 2008-09-17 株式会社東芝 パターン描画装置における温度測定方法
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6684123B2 (en) * 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6695572B2 (en) * 2001-09-28 2004-02-24 Agere Systems Inc. Method and apparatus for minimizing semiconductor wafer contamination
KR100672634B1 (ko) * 2001-12-19 2007-02-09 엘지.필립스 엘시디 주식회사 액정표시소자의 기판 반송 장치 및 방법
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US7230441B2 (en) * 2002-07-18 2007-06-12 Rudolph Technologies, Inc. Wafer staging platform for a wafer inspection system
KR100487541B1 (ko) * 2002-09-06 2005-05-03 삼성전자주식회사 반도체기판의 세정/건조 공정에 사용되는 웨이퍼 가이드들
AU2003277790A1 (en) 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100555620B1 (ko) * 2003-10-28 2006-03-03 주식회사 디엠에스 기판 운반시스템 및 운반방법
US7690379B2 (en) * 2004-06-01 2010-04-06 Branch, Banking and Trust Company Pressure indicator for positive pressure protection masks
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8741096B2 (en) 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
KR100843103B1 (ko) * 2006-06-29 2008-07-02 주식회사 아이피에스 반도체공정장치
KR100902330B1 (ko) * 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
JP4635972B2 (ja) * 2006-06-29 2011-02-23 株式会社ニコン ロードロック装置、それを使用した方法及びウエハ接合システム
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR100765188B1 (ko) * 2006-11-30 2007-10-15 세메스 주식회사 기판 이송 장치, 기판 처리 장치 및 기판 처리 방법
KR100794684B1 (ko) * 2007-04-02 2008-01-15 (주)퓨전에이드 로드락 챔버
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
KR100918588B1 (ko) * 2007-09-19 2009-09-28 세메스 주식회사 파티클 배출 유닛 및 이를 포함하는 기판 이송 장치
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5676168B2 (ja) * 2010-07-14 2015-02-25 株式会社ディスコ 研削装置
US9115425B2 (en) 2010-10-18 2015-08-25 Electronics And Telecommunications Research Institute Thin film depositing apparatus
JP5631755B2 (ja) * 2011-01-14 2014-11-26 パナソニック株式会社 プラズマ処理システム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
EP2631937A1 (de) * 2012-02-24 2013-08-28 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung E.V. Stationsanordnung zur Bearbeitung und/oder Vermessen von Halbleiterscheiben sowie Bearbeitungsverfahren
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101777A1 (de) * 2013-02-22 2014-08-28 Aixtron Se Vorrichtung zum Be- und Entladen einer CVD-Anlage
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170252991A1 (en) * 2016-03-03 2017-09-07 Juicero, Inc. Juicer with flexible seal
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
EP3361316A1 (de) * 2017-02-14 2018-08-15 VAT Holding AG Pneumatische stifthubvorrichtung und pneumatischer hubzylinder
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
KR102592920B1 (ko) 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109755159B (zh) * 2018-12-29 2021-02-02 武汉华星光电技术有限公司 干法刻蚀机台及干法刻蚀方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11555791B2 (en) * 2019-12-03 2023-01-17 Corning Incorporated Chamber for vibrational and environmental isolation of thin wafers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3765763A (en) * 1969-07-29 1973-10-16 Texas Instruments Inc Automatic slice processing
US4293249A (en) * 1980-03-03 1981-10-06 Texas Instruments Incorporated Material handling system and method for manufacturing line
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4842686A (en) * 1987-07-17 1989-06-27 Texas Instruments Incorporated Wafer processing apparatus and method
JPH069297A (ja) * 1991-12-09 1994-01-18 Sumitomo Electric Ind Ltd 成膜装置
KR100280947B1 (ko) * 1993-10-04 2001-02-01 마쓰바 구니유키 판 형상체 반송장치
US5464475A (en) * 1994-05-20 1995-11-07 Advanced Micro Devices, Inc. Work-in-process storage pod
JPH08213447A (ja) * 1995-02-06 1996-08-20 Tokyo Electron Ltd 被移載体の検出装置
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
JP3579228B2 (ja) * 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置

Also Published As

Publication number Publication date
KR19980051973A (ko) 1998-09-25
KR100234539B1 (ko) 1999-12-15
US6340405B2 (en) 2002-01-22
TW358221B (en) 1999-05-11
JPH10321609A (ja) 1998-12-04
US20010037856A1 (en) 2001-11-08

Similar Documents

Publication Publication Date Title
JP3107780B2 (ja) 半導体製造用エッチング装置
US5607276A (en) Batchloader for substrate carrier on load lock
US5613821A (en) Cluster tool batchloader of substrate carrier
US5609459A (en) Door drive mechanisms for substrate carrier and load lock
US5664925A (en) Batchloader for load lock
US6641350B2 (en) Dual loading port semiconductor processing equipment
US6238283B1 (en) Double-sealed work conveying and transferring apparatus and container inspecting method
JP5134575B2 (ja) マルチ−チャンバーシステムで半導体素子を製造する方法
US5752796A (en) Vacuum integrated SMIF system
KR0179385B1 (ko) 진공처리장치
WO2005022627A1 (ja) 基板処理装置
WO2000044653A1 (en) Substrate carrier as batchloader
KR100532584B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
KR20100044782A (ko) 평판 디스플레이에 환경 분리를 제공하는 방법 및 장치
JP4727393B2 (ja) 基板把持ハンド装置と基板把持方法及びそれを用いた基板搬送装置並びに基板処理装置
KR101147283B1 (ko) 기판 반출입 장치 및 기판 반출입 방법
WO1997002199A1 (en) Door drive mechanisms for substrate carrier and load lock
US7780391B2 (en) Substrate processing device
JPH10107128A (ja) ウェーハリングの供給装置
JP2002264065A (ja) ウエハ搬送ロボット
KR101768519B1 (ko) 기판 처리 설비
KR100717990B1 (ko) 반도체 자재 처리를 위한 이송 시스템
JP2002009131A (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
KR20080067790A (ko) 로드락 챔버 직결식 로드포트
JPH10335429A (ja) 基板整列方法およびその装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080908

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080908

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090908

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100908

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110908

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120908

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130908

Year of fee payment: 13

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees