JP2021504974A - 粗さを低減するための原子層堆積及びエッチング - Google Patents

粗さを低減するための原子層堆積及びエッチング Download PDF

Info

Publication number
JP2021504974A
JP2021504974A JP2020545228A JP2020545228A JP2021504974A JP 2021504974 A JP2021504974 A JP 2021504974A JP 2020545228 A JP2020545228 A JP 2020545228A JP 2020545228 A JP2020545228 A JP 2020545228A JP 2021504974 A JP2021504974 A JP 2021504974A
Authority
JP
Japan
Prior art keywords
features
layer
etching
roughness
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020545228A
Other languages
English (en)
Other versions
JP7399864B2 (ja
Inventor
チョウ・シアン
アンサリ・ナヴィード
キムラ・ヨシエ
リー・サイ−イ・イ
サルタナ・カジ
マニ・ラディカ
チャン・ダミン
カジ・ハシーブ
スー・チェン
ブルックス・ミッチェル
ウパダヤヤ・ガネシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021504974A publication Critical patent/JP2021504974A/ja
Priority to JP2023176548A priority Critical patent/JP2023179679A/ja
Application granted granted Critical
Publication of JP7399864B2 publication Critical patent/JP7399864B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/471Inorganic layers
    • H01L21/473Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】【解決手段】一体化した原子層堆積(ALD)方法及びエッチング方法を使用して、粗さを低減する方法及び装置を本明細書で説明する。いくつかの実装形態では、マスクを基板上に設けた後、方法は、粗さを低減するために、ALDによって共形層をマスク上に堆積することと、粗さを低減したパターン・フィーチャを形成するため、マスクの下にある層をエッチングすることとを含む。いくつかの実装形態では、基板内に第1の深さでフィーチャを形成するために基板を第1の深さまでエッチングした後、方法は、後続のエッチング工程の間、側壁を保護し、粗さを低減するため、ALDによってフィーチャの側壁上に共形層を堆積することを含む。ALD工程及びエッチング工程は、プラズマ室内で実施してよい。【選択図】図8B

Description

関連出願の相互参照
本出願は、米国特許出願第15/820,110号、2017年11月21日出願、名称「ATOMIC LAYER DEPOSITION AND ETCH FOR REDUCING ROUGHNESS」に対する優先権の利益を主張するものであり、その全体が全ての目的で参照により本明細書に組み込まれる。
本開示は、一般に、半導体デバイスの作製における一体化した堆積方法及びエッチング方法に関し、より詳細には、集積回路の作製において限界寸法を制御する際の一体化した原子層堆積(ALD)方法及びエッチング方法に関する。
半導体産業においてデバイス及びフィーチャのサイズが縮小し続けるにつれて、小さな限界寸法のフィーチャのパターニングは、高度な集積回路(IC)の作製における重要性を増し続けている。現在のパターニング方法は、不均一な表面及び粗さをもたらすことがあり、トランジスタ又はデバイスの性能に対して悪影響を及ぼすことがあり、粗さを低減する現在の処理技法は、パターン・フィーチャの限界寸法に対し望ましくない影響を及ぼすことがある。
本開示は、パターニングによる粗さを低減する方法に関する。方法は、プラズマ室において、原子層堆積(ALD)によって、基板のパターン・マスク層上に第1の共形層を堆積することを含み、基板は、第1の材料層及び第1の材料層の上にあるパターン・マスク層を含み、パターン・マスク層は、第1の共形層を堆積する前、第1の粗さを有する。方法は、プラズマ室において、パターン・マスク層によって画定される第1の材料層の複数の第1のパターン・フィーチャを形成するため、第1の材料層をエッチングすることを更に含み、複数の第1のパターン・フィーチャは、第1の材料層をエッチングした後、パターン・マスク層の前記第1の粗さよりも小さい第2の粗さを有する。
いくつかの実装形態では、第1の粗さは、第1のライン・エッジ・ラフネス(LER)及び第1のライン・ワイズ・ラフネス(LWR)に対応し、第2の粗さは、第2のLER及び第2のLWRに対応し、第2のLERは、約2.0nm以下であり、第2のLWRは、約2.0nm以下である。いくつかの実装形態では、第1の共形層の厚さは、約0.5nmから約5nmの間である。いくつかの実装形態では、パターン・マスク層は、第1の材料層からの1つ又は複数の1次元(1−D)フィーチャ及び第1の材料層からの1つ又は複数の2次元(2−D)フィーチャを画定するように構成され、1つ又は複数の1−Dフィーチャと1つ又は複数の2−Dフィーチャとの間の限界寸法(CD)バイアスは、第1の材料層をエッチングした後、実質的に同様である。いくつかの実装形態では、パターン・マスク層は、疎フィーチャ領域内の1つ又は複数の疎フィーチャ、及び疎フィーチャ領域よりも大きいフィーチャ密度を有する密フィーチャ領域内の1つ又は複数の密フィーチャを含み、1つ又は複数の疎フィーチャと1つ又は複数の密フィーチャとの間のCDバイアスは、第1の材料層をエッチングした後、実質的に同様である。いくつかの実装形態では、方法は、第1の材料層の下にある第2の材料層を更に含む。方法は、プラズマ室において、ALDによって、複数の第1のパターン・フィーチャ、パターン・マスク層及び第2の材料層の露出表面上に第2の共形層を堆積することと、プラズマ室において、複数の第1のパターン・フィーチャによって画定される複数の第2のパターン・フィーチャを形成するため、基板の第2の材料層をエッチングすることとを更に含む。いくつかの実装形態では、複数の第2のパターン・フィーチャは、第1の粗さ及び第2の粗さのそれぞれよりも小さい第3の粗さを有する。いくつかの実装形態では、複数の第1のパターン・フィーチャの限界寸法は、約20nm以下である。いくつかの実装形態では、ALDによる第1の共形層を堆積することは、プラズマ室に、パターン・マスク層上に吸着する前駆体を導入することと、ある吸着制限量の第1の共形層を形成するため、プラズマにより前駆体を変換することと、前駆体を導入する動作を繰り返すことと、所望の厚さの第1の共形層がパターン・マスク層上に堆積されるまで、前駆体を変換することとを含む。
本開示は、側壁粗さを低減する方法にも関する。方法は、プラズマ室において、第1の深さで複数の構造体を形成するため、基板の第1の深さまでエッチングすることを含む。方法は、プラズマ室において原子層堆積(ALD)によって、複数のフィーチャの側壁上に第1の不活性化層を堆積することを更に含む。方法は、プラズマ室において、複数のフィーチャを第1の深さよりも大きい第2の深さまでエッチングすることを更に含み、第1の不活性化層は、第2の深さまでエッチングした後、側壁粗さを実質的に低減させるように構成される。
いくつかの実装形態では、側壁のLWR及びLER値の一方又は両方は、複数のフィーチャを第2の深さまでエッチングした後、約1.5nm以下である。いくつかの実装形態では、複数のフィーチャは、シャロー・トレンチ・アイソレーション(STI)フィーチャを含む。いくつかの実装形態では、複数のフィーチャのそれぞれの深さ対幅の縦横比は、10:1以上である。いくつかの実装形態では、第1の深さ及び第2の深さのそれぞれは、約100nmよりも大きい。いくつかの実装形態では、複数のフィーチャは、疎フィーチャ領域内の1つ又は複数の疎フィーチャ、及び疎フィーチャ領域よりも大きいフィーチャ密度を有する密フィーチャ領域内の1つ又は複数の密フィーチャを含み、複数のフィーチャの側壁に沿った第1の不活性化層の厚さは、疎フィーチャ領域及び密フィーチャ領域内で実質的に同様である。いくつかの実装形態では、複数のフィーチャは、複数の構造体によって画定され、1つ又は複数の第1の構造体は、第1の材料を含み、1つ又は複数の第2の構造体は、第1の材料とは異なる第2の材料を含み、複数のフィーチャの側壁に沿った第1の不活性化層の厚さは、1つ又は複数の第1の構造体及び1つ又は複数の第2の構造体で実質的に同様である。いくつかの実装形態では、方法は、プラズマ室において、ALDによって複数のフィーチャの側壁上に第2の不活性化層を堆積することと、プラズマ室において、複数のフィーチャを基板において第2の深さよりも大きい第3の深さまでエッチングすることとを更に含み、第2の不活性化層は、基板を通して第3の深さまでエッチングした後、側壁粗さを実質的に低減させるように構成される。いくつかの実装形態では、第1の不活性化層は、シリコン酸化物(SiOx)を含む。
これら及び他の態様は、図面を参照しながら以下で更に説明する。
図1は、いくつかの実装形態による、エッチング動作及びALD動作を実施する例示的処理装置の概略図である。
図2は、マスク内の粗さを低減するために従来のプラズマ事前処理を受けるマスクの概略図である。
図3は、マスク内の粗さを低減するために従来のプラズマ堆積・プラズマ・エッチング・シーケンスを受けるマスクの概略図である。
図4Aは、プラズマ室において従来の堆積方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。 図4Bは、プラズマ室において従来の堆積方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。 図4Cは、プラズマ室において従来の堆積方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。
図5Aは、いくつかの実装形態による、ALD方法及びエッチング方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。 図5Bは、いくつかの実装形態による、ALD方法及びエッチング方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。 図5Cは、いくつかの実装形態による、ALD方法及びエッチング方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。
図6Aは、エッチング及び原位置でのALD方法を使用する、基板搬送のための例示的工程フローである。
図6Bは、一体化したエッチング方法及びALD方法を使用する、基板搬送のための例示的工程フローである。
図7は、いくつかの実装形態による、エッチング方法及びALD方法を使用してパターン・フィーチャ内の粗さを低減する例示的方法の流れ図である。
図8Aは、いくつかの実装形態による、一体化したエッチング方法及びALD方法を使用して1次元(1−D)及び2次元(2−D)フィーチャをパターニングする例示的方法の側面図及び上面図を示す概略図である。 図8Bは、いくつかの実装形態による、一体化したエッチング方法及びALD方法を使用して1次元(1−D)及び2次元(2−D)フィーチャをパターニングする例示的方法の側面図及び上面図を示す概略図である。 図8Cは、いくつかの実装形態による、一体化したエッチング方法及びALD方法を使用して1次元(1−D)及び2次元(2−D)フィーチャをパターニングする例示的方法の側面図及び上面図を示す概略図である。 図8Dは、いくつかの実装形態による、一体化したエッチング方法及びALD方法を使用して1次元(1−D)及び2次元(2−D)フィーチャをパターニングする例示的方法の側面図及び上面図を示す概略図である。
図9Aは、いくつかの実装形態による、一体化したALD方法及びエッチング方法の使用により、ハード・マスクでフィーチャをパターニングする例示的方法の概略図である。 図9Bは、いくつかの実装形態による、一体化したALD方法及びエッチング方法の使用により、ハード・マスクでフィーチャをパターニングする例示的方法の概略図である。 図9Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法の使用により、ハード・マスクでフィーチャをパターニングする例示的方法の概略図である。
図9Dは、ALD方法の前、図9A〜図9Cで使用されるハード・マスクの上面図を示す概略図である。
図9Eは、ALD方法の後の、図9D内のハード・マスクの上面図を示す概略図である。
図10Aは、プラズマ室において、従来の不活性化方法を使用して不活性化及びエッチングを受ける、様々な材料の高い縦横比のフィーチャの概略図である。 図10Bは、プラズマ室において、従来の不活性化方法を使用して不活性化及びエッチングを受ける、様々な材料の高い縦横比のフィーチャの概略図である。
図11は、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して高い縦横比のフィーチャの側壁粗さを低減する例示的方法の流れ図である。
図12Aは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、様々な材料の高い縦横比のフィーチャの概略図である。 図12Bは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、様々な材料の高い縦横比のフィーチャの概略図である。 図12Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、様々な材料の高い縦横比のフィーチャの概略図である。
序論
以下の説明では、多数の特定の詳細を示し、本実施形態に対する完全な理解を提供する。開示する実施形態は、これら特定の詳細の一部又は全てを伴わずに実行してよい。他の例では、周知の工程動作は、開示する実施形態を不必要に曖昧にしないように、詳細に説明していない。開示する実施形態は、特定の実施形態と共に説明するが、開示する実施形態を限定する意図ではないことは理解されよう。
本開示において、用語「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」及び「一部作製集積回路」は、互換的に使用される。用語「一部作製集積回路」は、集積回路をシリコン・ウエハ上に作製する多くの段階のいずれかの間のシリコン・ウエハを指し得ることは当業者であれば理解するであろう。半導体デバイス産業において使用されるウエハ又は基板は、典型的には、200mm又は300mm又は450mmの直径を有する。以下の詳細な説明は、本開示をウエハ上に実装することを仮定する。しかし、本開示は、そのように限定されない。加工物は、様々な形状、サイズ及び材料のものであってよい。半導体ウエハに加えて、本開示を利用し得る他の加工物は、プリント回路板等の様々な物品を含む。
一体化したエッチング/ALD処理装置
フィーチャのサイズが縮小し、ピッチがより小さくなり、相補型金属酸化物半導体(CMOS)技術のスケールがより小さなノードになるにつれて、薄型共形堆積技法は、重要性を増し続けている。原子層堆積法(ALD)は、膜形成技法であり、ALDが単一薄型材料層を堆積するため、薄型共形膜の堆積にかなり適している。薄型共形膜の厚さは、膜形成化学反応自体の前に、基板表面上に吸着し得る1つ又は複数の前駆体反応物の量によって制限される(即ち、吸着制限層)。ALDによって形成される各層は、薄く、共形であり、得られる膜は、下にあるデバイス構造体及びフィーチャの形状に実質的に適合する。
従来、ALD方法及びエッチング方法は、個別のツール又はプラットフォーム上で実施される。例えば、ALD室ではエッチング工程を行わず、エッチング室ではALD工程を行わない。堆積工程を行うプラズマ・エッチング室は、プラズマ誘起堆積方法を使用して膜を形成するものであり、これらの膜は、共形ではなく、縦横比によって左右される。
図1は、いくつかの実装形態による、エッチング動作及びALD動作を実施する例示的処理装置の概略図である。処理装置100は、誘導結合プラズマ処理装置であってよい。処理装置100は、プラズマ・エッチング室等のプラズマ室132を含む。いくつかの実装形態では、Lam Research Corporation、カリフォルニア州フリーモント製Kiyo(商標)反応器は、プラズマ・エッチング室として使用してよい適切な反応器の一例である。
エッチング動作及びALD動作を実施する処理装置100に関する詳細は、米国特許出願第15/669,871号、2017年8月4日出願、Zhou等、名称「INTEGRATED ATOMIC LAYER PASSIVATION IN TCP ETCH CHAMBER AND IN−SITU ETCH−ALP METHOD」に記載されており、その全体が、全ての目的で参照により組み込まれる。
プラズマ室132は、全体的な室構造体を含んでよく、室構造体は、室壁114及び窓106によって画定してよい。窓106は、石英又は他の誘電材料から作製してよい。いくつかの実装形態では、プラズマ室132は、プラズマ室132の内側に配設した基板支持体116を含む。いくつかの実装形態では、基板支持体116は、基板112を支持する静電チャックであり、静電チャック上で堆積/エッチング工程を実施する。静電チャックは、基板112を固定、解除する静電電極を含んでよい。フィルタ及びDCクランプ電源(図示せず)をこの目的で提供してよい。基板支持体116から基板112を持ち上げる他の制御システムを提供してもよい。基板支持体116は、基板112を受け入れ、保持するように構成される。
いくつかの実装形態では、基板支持体116は、基板112を加熱する加熱器を含んでよい(図示せず)。基板支持体116は、約20℃から約150℃の間等、昇温で動作させてよい。温度は、工程動作及び特定のレシピに応じて決まる。いくつかの実装形態では、プラズマ室132は、約1mトルから約1トルの間の圧力等、特定の圧力で動作してもよい。
いくつかの実装形態では、処理装置100は、高周波(RF)電源120を含んでよく、高周波(RF)電源120は、基板支持体116にバイアスをかける/基板支持体116を充電するために使用してよい。RF電源120は、1つ又は複数のRF生成器によって定義することができる。複数のRF生成器を提供する場合、異なる周波数を使用し、様々な同調特性を達成してよい。バイアス整合回路118は、RF電源120と基板支持体116との間に結合される。このようにして、RF電源120は、基板支持体116に接続される。
コイル134は、窓106にわたって配置される。コイル134は、導電材料から作製し、少なくとも1回の完全な巻きを含んでよい。図1に示すコイル134は、少なくとも3回の巻きを含む。RF電源121は、RF電力をコイル134に供給するように構成される。整合回路102は、RF電源121とコイル134との間に結合される。このようにして、RF電源121は、コイル134に接続される。いくつかの実装形態では、任意のファラデー・シールド(図示せず)をコイル134と窓106との間に配置する。ファラデー・シールドは、コイル134に対して離間関係で維持してよい。ファラデー・シールドは、窓106の真上に配設してよい。ファラデー・シールドは、金属又は他の種がプラズマ室132の窓106上に堆積するのを防止することができる。
RF電力は、RF電源121からコイル134に供給され、RF電流をコイル134に流す。コイル134を流れるRF電流は、電磁界をコイル134の周囲に生成することができる。電磁界は、プラズマ室132内に誘導電流を発生させ、誘導電流は、プラズマ室132内に存在するガス(複数可)に対して作用し、プラズマを生成する。プラズマからの様々なイオン及び/又はラジカルは、基板112と相互作用し、堆積動作又はエッチング動作を実施することができる。
いくつかの実装形態では、処理装置100は、任意で、プラズマ格子(図示せず)を含み、プラズマ格子は、プラズマ室132を上側部分と下側部分とに分割するために使用してよい。プラズマ格子を使用し、プラズマ室132の下側部分内の高温電極の量を制限してよい。いくつかの実装形態では、処理装置100は、プラズマ室132の下側部分に存在するプラズマがイオン−イオン・プラズマであり、プラズマ室132の上側部分に存在するプラズマが電子−イオン・プラズマであるように動作するように設計されている。
処理ガスは、プラズマ室132の上部から第1のガス注入器104を通じて、及び/又はプラズマ室132の側部から第2のガス注入器110を通じてプラズマ室132に導入してよい。処理ガスは、気化させた液体前駆体又は気化させた固体前駆体を含んでよく、固体前駆体は、処理装置100の上流の固体供給源蒸発器(図示せず)内で気化してよい。1つ又は複数の反応ガスは、第1のガス注入器104及び/又は第2のガス注入器110を通じて供給してよい。いくつかの実装形態では、ガス注入器104、110は、シャワーヘッドに取り替えてよい。様々な種類の作業で異なるガスをプラズマ室132に供給するため、更なる又は他のガスの供給を行ってよいことは理解されよう。
ガス(複数可)をプラズマ室132に注入する様々な様式は、処理ガス、気化させた液体前駆体及び/又は気化させた固体前駆体を様々な場所からプラズマ室132に供給してよいことを示す。いくつかの実装形態では、第1のガス注入器104のみを使用する。いくつかの実装形態では、第2のガス注入器110のみを使用する。他の実装形態では、第1のガス注入器104及び第2のガス注入器110の両方を使用する。いくつかの実装形態では、マニホルド122は、様々なガス・ラインのそれぞれにどのガスを供給するかを制御する。マニホルド122は、あらゆる種類のガス(反応ガス、キャリア・ガス、前駆体ガス等)を様々なガス・ラインのいずれかから供給するのを可能にする。いくつかの実装形態では、キャリア・ガスは、酸素(O2)、窒素(N2)、及びヘリウム(He)等のガスを含むことができる。ガスは、混合せずにプラズマ室132に導入するか、又はプラズマ室132に導入する前に他のガスと混合してよい。
マニホルド122は、送出システム128内のそれぞれの送出システムからの出力を選択、切替え、及び/又は混合するために使用してよい。送出システム128は、いくつかの実装形態では、エッチング・ガス送出システム127及び液体送出システム129を含んでよい。エッチング・ガス送出システム127は、エッチング剤ガスを出力するように構成してよい。エッチング剤ガスの例は、限定はしないが、塩素(Cl2)、臭化水素(HBr)及び六フッ化硫黄(SF6)を含む。液体送出システム129は、液体前駆体を供給するように構成してよく、液体前駆体は、ALD工程において、気化され、蒸気の形態で送出される。気化させた液体前駆体は、プラズマ室132に導入してよく、基板112の表面上に吸着させてよい。プラズマを使用して、吸着した前駆体を変換し、吸着制限量のフィルムを形成してよい。例示的液体前駆体は、式:CxyzaSibの化学組成を有してよい。
真空ポンプ130は、プラズマ室132に接続し、プラズマ室132から処理ガスを引き出し、特定の圧力をプラズマ室132内で維持するために使用してよい。弁126を排気ポンプ124と真空ポンプ130との間に配設し、プラズマ室132に加えられる真空吸込み量を制御してよい。いくつかの実装形態では、真空ポンプ130は、1つ又は2つの段階の機械式乾式ポンプ及び/又はターボ分子ポンプとすることができる。いくつかの実装形態では、真空ポンプ130は、プラズマ室132を浄化するため、ALD工程の完了後、毎回起動してよい。
処理装置100は、クリーン・ルーム又は作製施設内に設置する場合、設備(図示せず)に結合してよい。設備には、処理ガス、真空、温度の制御、及び環境粒子制御をもたらす配管を含む。これらの設備は、標的作製施設を設置する際に処理装置100に結合してよい。更に、処理装置100は、搬送室に結合してよく、搬送室は、ロボットが自動化を使用して基板を搬送し、プラズマ室132に出し入れ可能にする。
いくつかの実装形態では、システム制御器108(1つ又は複数の物理的若しくは論理的制御器)は、処理装置100の動作の一部又は全てを制御する。システム制御器108は、1つ又は複数のメモリ・デバイス及び1つ又は複数のプロセッサを含んでよい。プロセッサは、中央処理ユニット(CPU)又はコンピュータ、アナログ及び/若しくはデジタル入力/出力接続器、ステッパ・モータ制御器板並びに他の同様の構成要素を含んでよい。適切な制御動作を実施する命令は、プロセッサ上で実行される。これらの命令は、システム制御器108に関連付けたメモリ・デバイス上に保存してよく、メモリ・デバイスは、ネットワーク上に提供してよい。いくつかの実装形態では、システム制御器108は、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下の室動作条件:ガスの混合及び/又は組成、室圧力、室温度、ウエハ/ウエハ支持体温度、基板に印加するバイアス(様々な実装形態ではバイアスはゼロであってよい)、コイル若しくは他のプラズマ生成構成要素に印加する周波数及び電力、基板位置、基板移動速度、及びツールによって実施する特定の工程の他のパラメータのあらゆる1つ又は複数の適用タイミング及び/又は大きさを制御する命令を含んでよい。システム制御ソフトウェアは、あらゆる適切な様式で構成してよい。例えば、様々な処理ツール構成要素のサブルーチン又は制御オブジェクトは、様々な処理ツール工程の実行に必要な処理ツール構成要素の制御動作に書き込んでよい。システム制御ソフトウェアは、あらゆる適切なコンピュータ可読プログラミング言語で符号化してよい。
いくつかの実施形態では、システム制御ソフトウェアは、上記した様々なパラメータを制御する入力/出力制御(IOC)順序付け命令を含む。例えば、半導体製作工程の各段階は、システム制御器108によって実行する1つ又は複数の命令を含んでよい。ある段階のための工程条件を設定する命令は、例えば、対応するレシピ段階内に含んでよい。いくつかの実装形態では、レシピ段階は、順次構成してよく、添加工程におけるステップを、この工程段階の間、特定の順序で実行するようにする。例えば、レシピは、エッチング動作を実施するように構成し、エッチング動作のそれぞれの間に実施されるALD工程の1つ又は複数のサイクルを含んでよい。
いくつかの実装形態では、システム制御器108は、以下の動作のうち1つ又は複数を実施する命令により構成される:プラズマ室132において、フィーチャ・マスク・パターンを形成するため、基板112の第1の層をエッチングする動作であって、フィーチャ・マスク・パターンは、フィーチャ・マスク・パターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する、エッチング動作;プラズマ室132において、ALDによってフィーチャ・マスク・パターン上に第1の不活性化層を堆積する動作であって、第1の不活性化層は、フィーチャ・マスク・パターンの幅を所望の幅に増大させる厚さで堆積される、堆積動作;及びプラズマ室132において、所望の幅を有する複数の構造体を形成するため、基板112の第2の層をエッチングする動作。エッチング動作及び堆積動作は、プラズマ室132内に真空破壊を導入せずに実施される。いくつかの実装形態では、システム制御器108は、以下の動作:プラズマ室132内でALDによる堆積及びエッチングを繰り返す動作、を実施するように更に構成される。
いくつかの実施形態では、他のコンピュータ・ソフトウェア及び/又はプログラムを実装してよい。この目的で、プログラム又はプログラム部分の例は、基板配置プログラム、処理ガス組成制御プログラム、圧力制御プログラム、加熱器制御プログラム、及びRF電力供給制御プログラムを含む。
場合によっては、システム制御器108は、ガス濃度、基板の移動及び/又はコイル134に供給する電力及び/又は基板支持体116を制御する。システム制御器108は、例えば、適切な濃度(複数可)で必要な反応ガス(複数可)を提供する1つ又は複数の入口ガス流を生成するため、関連する弁の開閉によってガス濃度を制御してよい。基板の移動は、例えば、必要に応じて移動する基板配置システムを向けることによって制御してよい。コイル134に供給する電力及び/又は基板支持体116は、特定のRF電力レベルを供給するように制御してよい。格子を使用する場合、RF電力は、プラズマ室132の上側部分に電子−イオン・プラズマを生成し、プラズマ室132の下側部分にイオン−イオン・プラズマを生成するように、システム制御器108によって調節してよい。更に、システム制御器108は、電子−イオン・プラズマをプラズマ室132の下側部分に生成しないような条件下、基板支持体116に電力を供給するように構成してよい。
システム制御器108は、(例えば、電力、電位、圧力等が特定の閾値に到達した場合の)センサ出力、動作のタイミング(例えば、工程内の特定の時間で弁を開放する)に基づき、又はユーザから受信した命令に基づき、これら及び他の態様を制御してよい。
いくつかの実装形態では、システム制御器108はシステムの一部であり、システムは上述の例の一部であってよい。そのようなシステムは、半導体処理機器を備えることができ、半導体処理機器は、1つ又は複数の処理ツール、1つ又は複数の室、処理及び/又は特定の処理構成要素(ウエハ台、ガス流システム等)のための1つ又は複数のプラットフォームを含む。これらのシステムは、半導体ウエハ又は基板の処理前、その間及びその後にシステムの動作を制御する電子機器と共に一体化してもよい。これらの電子機器は、「制御器」と呼んでよく、1つ若しくは複数のシステムの様々な構成要素又は下位部品を制御してよい。システム制御器108は、処理要件及び/又はシステムの種類に応じて、処理ガスの送出、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、RF生成器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体送出の設定、位置及び動作の設定、基板搬送出し入れツール、及び他の搬送ツール、並びに/又は特定のシステムに接続若しくはインターフェース接続したロードロックを含め、本明細書で開示する工程のいずれかを制御するようにプログラムしてよい。
大まかに言うと、システム制御器108は、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器として定義してよく、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、終了点測定を可能にする、等のものである。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサを含んでよい。プログラム命令は、様々な個々の設定(又はプログラム・ファイル)の形態でシステム制御器108に連絡される命令であってよく、半導体基板上で、又は半導体基板向けに、又はシステムに対して、特定の工程を実行する動作パラメータを定義する。動作パラメータは、いくつかの実施形態では、1つ又は複数の層、材料、金属、酸化物、シリコン、シリコン二酸化物、表面、回路及び/又は基板のダイを作製する間、1つ又は複数の処理ステップを達成する工程技師によって定義されるレシピの一部であってよい。
システム制御器108は、いくつかの実装形態では、コンピュータの一部であるか、又はコンピュータに結合してよく、コンピュータは、システムと一体化されるか、システムに結合するか、他の方法でシステムにネットワーク化されるか、又はそれらの組合せである。例えば、システム制御器108は、基板処理に対する遠隔アクセスを可能にし得る「クラウド」又はfabホスト・コンピュータ・システムの全て若しくは一部内にある。コンピュータは、システムへの遠隔アクセスを可能にし、製造動作に関する現在の経過を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向若しくは性能メトリックを調査し、現在の処理のパラメータを変更し、現在の処理に追従する処理ステップを設定する、又は新たな工程を開始してよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワーク上で工程レシピをシステムに提供することができ、ネットワークには、ローカル・ネットワーク又はインターネットを含んでよい。遠隔コンピュータは、ユーザ・インターフェースを含んでよく、ユーザ・インターフェースは、パラメータ及び/又は設定の入力又はプログラム化を可能にし、これらのパラメータ及び/又は設定は、次に、遠隔コンピュータからシステムに伝達される。いくつかの例では、システム制御器108は、データの形態で命令を受信し、命令は、1つ又は複数の動作の間に実施すべき処理ステップのそれぞれに対するパラメータを指定する。パラメータは、実施する工程の種類、及びシステム制御器108がインターフェース接続又は制御するように構成したツールの種類に特定である場合があることを理解されたい。したがって、上記のように、システム制御器108は、例えば、1つ又は複数の離散型制御器を備えることによって分散してよく、1つ又は複数の離散型制御器は、一緒にネットワーク化され、本明細書で説明する工程及び制御等、共通の目的に向かって働く。そのような目的のための分散システム制御器108の一例は、(プラットフォーム・レベルで、又は遠隔コンピュータの一部として等)遠隔に位置する1つ又は複数の集積回路と通信している、室上の1つ又は複数の集積回路であり、室上での工程を制御するように組み合わせられる。
限定はしないが、例示的システムは、プラズマ・エッチング室若しくはモジュール、堆積室若しくはモジュール、スピンリンス室若しくはモジュール、金属めっき室若しくはモジュール、クリーン室若しくはモジュール、斜縁エッチング室若しくはモジュール、物理蒸着(PVD)室若しくはモジュール、化学蒸着(CVD)室若しくはモジュール、原子層堆積法(ALD)室若しくはモジュール、原子層エッチング(ALE)室若しくはモジュール、イオン注入室若しくはモジュール、組み立てライン室若しくはモジュール、並びに半導体基板の作製及び/若しくは製造に関連付けるか若しくは使用してよいあらゆる他の半導体処理システムを含んでよい。
上記のように、工程ステップ又はツールによって実施するステップに応じて、システム制御器108は、他のツール回路若しくはモジュール、他のツール構成要素、クラスタ・ツール、他のツール・インターフェース、隣接ツール、近隣ツール、工場全体に置かれるツール、主コンピュータ、別のシステム制御器108、又は半導体製造工場内のツールの場所の間若しくは積み下ろしポート間で基板の容器を運搬する材料搬送で使用されるツールのうち1つ又は複数と通信してよい。
ライン・ワイズ・ラフネス及びライン・エッジ・ラフネス
パターニング方法は、所望のフィーチャを達成するため、多くの半導体製造工程で使用されている。フォトレジスト・パターニング等のマスクは、下にある層をパターニングし、1−Dフィーチャ(線、トレンチ等)及び2−Dフィーチャ(例えば、穴、正方形等)を含む所望のフィーチャを形成するように働く。しかし、マスクの縁部は、通常、まっすぐではなく、直線性からの偏差につながる。直線性からの偏差は、パターン・フィーチャ内に非直線性の生成をもたらし、デバイスの性能に悪影響を与えることがある。そのような偏差は、ライン・ワイズ・ラフネス(LWR)及び/又はライン・エッジ・ラフネス(LER)として特徴付け得る。
限界寸法(CD)が半導体デバイス内でより小さくなるにつれて、小さなCDを達成し、LER及びLWRを制御するリソグラフィ方法は、より一層困難になってきている。LER/LWRの影響は、より小さなCDと共に増幅されている。LWRは、所与の長さにわたり測定される線幅の偏差を指す。LWRは、概して、幅の3σ偏差として定量化される。LERは、線縁部の偏差を指し、上−下に見た際の直線からの縁部の偏差として理解してよい。LWR及びLER値は、公知の方法を使用して所与の検査長さ又は領域に従って計算してよい。LWR及び/又はLERを制御しないと、得られる半導体デバイスに著しい影響を及ぼすことがあり、従来のリソグラフィ技法は、典型的には、これらの問題に対処するには不十分である。
例として、従来のフォトリソグラフィ技法は、パターニング方法及びエッチング方法を使用して半導体デバイスのフィーチャを画定する。これらの方法において、フォトレジスト材料は、基板上に堆積され、次に、レチクルによって濾光された光に露出される。レチクルは、一般に、レチクルを通じて伝播する光を遮断するフィーチャの形状によりパターニングされるガラス板である。レチクルに通した後、光は、フォトレジスト材料の表面に接触し、フォトレジスト材料の化学的組成を変化させ、現像液がフォトレジスト材料の一部分を除去できるようにする。現像液は、フォトレジスト材料に塗布され、フォトレジスト材料の一部分を除去する。ポジ型フォトレジスト材料の場合、露光領域が除去され、ネガ型フォトレジスト材料の場合、非露光領域が除去される。パターン・フォトレジスト材料は、下にある層をエッチングするためのマスクとして使用される。
フォトレジストの化学特性の限度、光学系における波長若しくは光源、及び/又は解像度の限度のために、パターン・フォトレジスト材料は、何らかの量のLWR及び/又はLERを含むことがある。いかなる理論にも限定するものではないが、このことは、フォトレジスト分子に起因することがあり、フォトレジスト分子は、露光及び現像後、パターン・フォトレジスト材料全体を通してランダムなパターンを形成し、均一性がない。パターン・フォトレジスト材料内のLWR及び/又はLERは、後続のエッチング工程において下にある層に転写され、フォトリソグラフィ方法の解像度を低減させることがある。
LWR及び/又はLERは、様々な半導体デバイスの性能に影響を与えることがある。例えば、平面又は3次元CMOSデバイス及び相互接続構造体の場合、より高いLWR/LER値は、とりわけ、解像度の低減、非均一なCD、より遅い速度、歩留りの損失、抵抗率の増大、及び性能の低減をもたらすことがある。工程の一体化に関し、より高いLWR/LER値は、フィーチャを合併させることがあり、この場合、CD間隔は小さく、短絡をもたらし、最終的にはデバイスの故障をもたらすことがある。
フィン電界効果トランジスタ(FinFET)を形成する場合、より大きなLWR/LERは、表面粗さが、電荷担体に対して散乱中心の働きをするため、デバイスの性能を悪化させ、トランジスタの速度を低下させ、電力消費量を増大させることがある。パターニング工程に関し、大きなLWR/LERは、線の破れ又は電橋をもたらし、局所パターンに不忠実さを生じさせることがある。このパターニングを後続の相互接続金属充填で使用すると、そのような破れ/電橋による欠陥により、開放/短絡回路がもたらされ、半導体デバイスを動作不能にする。
LWR及び/又はLERに対する改善は、典型的には、より小さな固有粗さを有する新たなレジストの開発、分量最適化及びレジスト最適化を含むリソグラフィ方法の最適化、並びに現像後平滑化工程の適用等のいくつかの方策のうち1つを使用して達成される。分量最適化には、レジスト材料を高い露光分量で露光させ、レジスト現像のランダムさを低減させることを伴い得るが、このことは、処理能力及び費用とのトレードオフであることが多い。レジスト最適化には、現像液濃度及び現像時間等のレジスト工程パラメータの変更、又はレジストの化学式の変更を伴い得るが、このことは、遅く、高額な工程をもたらし、長いサイクル時間を伴うことが多い。
従来の現像後平滑化工程は、図2に示すようなレジスト・パターンを平滑化するプラズマ処理、又は図3に示すようなレジスト・パターンを平滑化する堆積/エッチング処理を含み得る。上述の現像後平滑化工程は、LWR及び/又はLERを低減し得る一方で、そのような現像後平滑化工程に起こり得る問題には、選択性の問題による調整窓の制限、CD又はCDローディング要件による調整窓の制限、選択性の縮小、マスク高さの縮小、及び疎−密CDローディングを伴う。
図2は、マスク内の粗さを低減するため、従来のプラズマ事前処理を受けるマスクの概略図である。ステップ210において、プラズマ処理の前に、フォトレジスト・マスク等のマスク204を、LWR及びLERを含む粗さを呈する外形を有する基板202上にパターニングしてよい。いくつかの実装形態では、マスク204は、マスク204から側方に突出する1つ又は複数の足部206を含む。ステップ220において、プラズマ処理の後、マスク204は、丸い外形を有し、粗さを平滑化し得る。プラズマ処理は、1つ又は複数の足部206を大きくしても、しなくてもよい。プラズマ処理は、穏やかなプラズマ条件を使用してよく、プラズマがマスク204をエッチングするのにあまり急速ではないようにする。いかなる理論にも限定するものではないが、プラズマは、マスク204を「化学処理」し、マスク204の分子を還流させ、粗さを低減し、これにより、丸い外形がもたらされる。非エッチング・プラズマは、無指向性であってよい。マスク204の粗さを低減する非エッチング・プラズマの例は、水素(H2)プラズマ、アルゴン(Ar)プラズマ又はそれらの組合せを含む。ステップ230において、異方性エッチングの後、1つ又は複数の足部206は、マスク204から除去してよい。異方性エッチングは、指向性イオン208を使用し、1つ又は複数の足部206をエッチングするか又は「切り落とし」てよい。その他の場合では、1つ又は複数の足部206の存在は、マスク204のLWRを増すことがある。
図2に示すプラズマ処理は、マスクのLWR及び/又はLERを低減し得るが、プラズマ処理は、マスクの高さを縮小し、レジストの形状を変化させ、エッチング選択性を低減させることがある。このことにより、マスクの、エッチング動作に対する耐性性能を低下させることがある。更に、プラズマ処理は、選択性の問題のために、調整窓が制限されることがある。レジストは、プラズマ処理により典型的には軟化し、高さは著しく縮小する。レジストが軟化し、より短縮されると、パターンを下に転写する際に、後続のエッチング・ステップを通して持ちこたえないことがある。このことは、マスクの消耗のために、パターンの不忠実さをもたらし、LWR/LERを増大させる。
図3は、マスク内の粗さを低減するために従来のプラズマ堆積・プラズマ・エッチング・シーケンスを受けるマスクの概略図である。ステップ310において、異方性エッチング及びプラズマ堆積の前に、フォトレジスト・マスク等のマスク304を、LWR及びLERを含む粗さを呈する外形を有する基板302上にパターニングしてよい。いくつかの実装形態では、マスク304は、マスク304から側方に突出する1つ又は複数の足部306を含む。ステップ320において、異方性エッチングの後、1つ又は複数の足部306は、マスク304から除去してよい。異方性エッチングは、指向性イオン308を使用し、1つ又は複数の足部306をエッチングするか又は「切り落とし」てよい。1つ又は複数の足部306は、その他の場合では、マスク304のLWRの一因となることがある。ステップ330において、プラズマ堆積の後、不活性化層312は、マスク304の側壁及び上表面上に堆積してよい。不活性化層312は、マスク304の側壁及び表面を平滑にし、粗さを低減させる。不活性化層312は、化学蒸着(CVD)又はプラズマ化学蒸着(PECVD)方法を使用して堆積してよい。しかし、そのような堆積方法は、典型的には、共形ではない膜を堆積するものである。CVD又はPECVD方法は、縦横比に左右され、あまり開放していない空間又はより狭いピッチ内よりも、より開放した空間又はより広いピッチ内により多くの材料を堆積させる。更に、CVD又はPECVD方法を使用する場合、1−Dフィーチャ(例えば、線)内のCDバイアス量は、2−Dフィーチャ(例えば、穴)内のCDバイアスとは異なることがある。プラズマ堆積の後、プラズマ・エッチング動作(図示せず)を実施し、堆積した不活性化層312をトリムする。不活性化層312をトリムするためのプラズマ・エッチング動作により、マスク304のCDを制御してよい。
図3に示すプラズマ堆積・プラズマ・エッチング・シーケンスは、同じ室又はツール内で実施してよい。図3におけるプラズマ堆積・プラズマ・エッチング・シーケンスは、粗さを低減するが、CDローディングをもたらすことがあり、密フィーチャ内よりも、より多くの材料が疎フィーチャ内に堆積され、より多くの材料が、1−Dフィーチャ内よりも、2−Dフィーチャ内に堆積される。疎フィーチャと密フィーチャとの間のCDローディングを図4A〜図4Cに示す。
図4A〜図4Cは、プラズマ室において、従来の堆積方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。堆積動作及びエッチング動作は、図3において説明したマスク上での粗さを低減する堆積動作及びエッチング動作に対応してよい。
図4Aは、基板402及びフィーチャ・マスク・パターン404a、404bを含む一部作製デバイス構造体410を示す。フィーチャ・マスク・パターン404a、404bは、プラズマ室におけるエッチングの後、パターニングし、画定してよい。フィーチャ・マスク・パターン404a、404bは、基板402の密フィーチャ領域における密フィーチャ404aと基板402の疎フィーチャ領域における疎フィーチャ404bとの間で区別してよく、密フィーチャ404aは、疎フィーチャ404bよりも大きなフィーチャ密度を有する。密フィーチャ領域内の密フィーチャ404aは、疎フィーチャ領域内の疎フィーチャ404bよりも高い縦横比を有する間隙を画定してよい。フィーチャ・マスク・パターン404a、404bは、図4Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図4Bは、基板402、フィーチャ・マスク・パターン404a、404b及びフィーチャ・マスク・パターン404a、404bの上に堆積した第1の不活性化層406を含む一部作製デバイス構造体420を示す。第1の不活性化層406は、プラズマ室において、CVD又はPECVD等の従来の堆積方法を使用して堆積してよい。代替的に、第1の不活性化層406は、プラズマベースの「フラッシュ」不活性化技法を使用して堆積してよく、フィーチャ・マスク・パターン404a、404bの一部は、酸化又は窒化を通じて消費してよい。図4Bに示すように、第1の不活性化層406の厚さは、疎フィーチャ領域内の疎フィーチャ404b上で、密フィーチャ領域内の密フィーチャ404a上よりも大きい。疎フィーチャ404bは、密フィーチャ404aよりも多くの堆積を受ける。したがって、CDゲインは、密フィーチャ領域内よりも、疎フィーチャ領域内で多い。一部作製デバイス構造体420は、プラズマ室において従来の堆積方法を使用して堆積した後のデバイス構造体410を表す。
図4Cは、基板402、フィーチャ・マスク・パターン404a、404b及びフィーチャ・マスク・パターン404a、404bの上に堆積した第1の不活性化層406、及び基板402内の複数のフィーチャ408を含む一部作製デバイス構造体430を示す。複数のフィーチャ408は、プラズマ室におけるエッチング方法の後に形成してよい。エッチング方法は、基板402内の材料の層を所望の深さまで異方的にエッチングしてよい。複数のフィーチャ408は、フィーチャ・マスク・パターン404a、404bの下にある複数の構造体409によって画定してよい。フィーチャ408の縦横比は、基板402の疎フィーチャ領域内よりも、密フィーチャ領域内で高くてよい。図4Cに示すように、疎フィーチャ領域内のCDバイアス又はCDローディングは、密フィーチャ領域内のCDバイアス又はCDローディングよりも大きい。一部作製デバイス構造体430は、プラズマ室においてエッチングした後のデバイス構造体420を表す。
粗さを低減するための原位置でのALD及びエッチング
本開示の実装形態は、原位置でのALD及びエッチングを使用して粗さを低減する方法に関する。いくつかの実装形態では、原位置でのALD動作及びエッチング動作は、LWR及び/又はLERが低減したフィーチャを形成するために、マスク上に共形層を堆積し、マスクの下にある層をエッチングすることによって実施してよい。いくつかの実装形態では、原位置でのALD動作及びエッチング動作は、側壁粗さが改善した高い縦横比のフィーチャを形成するために、1つ又は複数の構造体上に不活性化層を堆積し、1つ又は複数の構造体の下にある層をエッチングすることによって実施してよい。いくつかの実装形態では、ALDステップ及びエッチング・ステップのサイクルは、図1で説明したプラズマ処理装置内で実施される。エッチングと同じプラズマ処理装置内で実施されるALDのサイクルは、共形の堆積をもたらし、半導体デバイスのフィーチャ内の粗さの低減に使用される。
ALDは、逐次自己停止反応を使用して薄い材料層を堆積させる技法である。典型的には、ALDのサイクルは、基板表面に少なくとも1つの反応物を送出して吸着させ、次に、吸着した反応物を1つ又は複数の反応物と反応させて部分的な膜の層を生成する動作を含む。CVD技法とは異なり、ALD方法は、層ごとの単位で膜を堆積させる表面自己停止堆積反応を使用する。典型的なALDサイクルは、以下:(i)前駆体材料を投入し、基板表面上に送出し、吸着させることと、(ii)室から過剰な前駆体材料を除去し、自己停止単層を基板表面上に残すことと、(iii)反応物材料を送出し、吸着した前駆体材料と反応させることと、(iv)未反応の反応物材料を除去するか、又は室から副産物を反応させることとを含んでよい。投入ステップは、自己停止するように前駆体材料を吸着させてよく、活性部位が前駆体材料によって占められると、更なる前駆体材料は、基板表面上にほとんど又は全く吸着されない。反応物材料は、同様に、自己停止するように又は吸着を停止するように前駆体材料と反応してよい。除去ステップは、任意で実施してよく、過剰な前駆体材料、反応副産物、及び/又は未反応の反応物材料を室から取り除き、これにより、ALDサイクルを完了させる。ALDを使用すると、高い縦横比のフィーチャの場合でさえ、高度に共形の膜に高ステップ・カバレッジを提供し得る。したがって、疎フィーチャと密フィーチャとの間に均一量の材料を堆積し、疎フィーチャと密フィーチャとの間のCDローディングを最小化することができる。
図5A〜図5Cは、いくつかの実装形態による、ALD方法及びエッチング方法を使用して堆積及びエッチングを受ける疎基板フィーチャ及び密基板フィーチャの概略図である。図4A〜図4Cに示す従来のエッチング−堆積−エッチング方法と、図5A〜図5Cに示すALDエッチング−堆積−エッチング方法における疎フィーチャと密フィーチャとの間を比較すると、疎フィーチャ−密フィーチャにおけるCDバイアス/ローディングの効果が明らかになる。
図5Aは、基板502及びフィーチャ・マスク・パターン504a、504bを含む一部作製デバイス構造体510を示す。フィーチャ・マスク・パターン504a、504bは、プラズマ室におけるエッチングの後にパターニングし、画定してよい。フィーチャ・マスク・パターン504a、504bは、基板502の密フィーチャ領域における密フィーチャ504aと基板502の疎フィーチャ領域における疎フィーチャ504bとの間で区別してよく、密フィーチャ504aは、疎フィーチャ504bよりも大きなフィーチャ密度を有する。密フィーチャ領域内の密フィーチャ504aは、疎フィーチャ領域内の疎フィーチャ504bよりも高い縦横比を有する間隙を画定してよい。フィーチャ・マスク・パターン504a、504bは、図5Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図5Bは、基板502、フィーチャ・マスク・パターン504a、504b及びフィーチャ・マスク・パターン504a、504bの上に堆積した第1の不活性化層506を含む一部作製デバイス構造体520を示す。第1の不活性化層506は、ALD方法を使用して堆積してよい。図5Bに示すように、第1の不活性化層506の厚さは、疎フィーチャ領域内の疎フィーチャ504b上、及び密フィーチャ領域内の密フィーチャ504a上で比較的均一である。図5Bに示すように、密フィーチャ領域内の密フィーチャ504aと疎フィーチャ領域内の疎フィーチャ504bとの間のCDバイアス/ローディングは、同じであるか又は実質的に同様である。ALDを使用する密フィーチャ504aと疎フィーチャ504bとの間のCDバイアス/ローディングに関し、「実質的に同様」とは、本開示全体を通して、述べた値の0.5nm以内の値を指す。一部作製デバイス構造体520は、ALD方法を使用して堆積した後のデバイス構造体510を表す。いくつかの実装形態では、ALD工程は、後続のエッチング工程と同じ室内で実施される原位置でのALD工程であってよい。
図5Cは、基板502、フィーチャ・マスク・パターン504a、504b及びフィーチャ・マスク・パターン504a、504bの上に共形に堆積した第1の不活性化層506、及び基板502内の複数のフィーチャ508を含む一部作製デバイス構造体530を示す。複数のフィーチャ508は、プラズマ室におけるエッチング方法の後に形成してよい。エッチング方法は、基板502内の材料の層を所望の深さまで異方的にエッチングしてよい。複数のフィーチャ508は、フィーチャ・マスク・パターン504a、504bの下にある複数の構造体509によって画定してよい。フィーチャ508の縦横比は、基板502の疎フィーチャ領域内よりも、密フィーチャ領域内で高くてよい。図5Cに示すように、密フィーチャ領域と疎フィーチャ領域との間のCDバイアス/ローディングは、同じであるか又は実質的に同様である。一部作製デバイス構造体530は、プラズマ室においてエッチングした後のデバイス構造体520を表す。
粗さを低減する本開示の実装形態は、原位置以外でのALD又は原位置でのALDを使用して実施してよいことは理解されよう。原位置以外でのALD及びエッチングを使用する実装形態は、LWR及びLERを含む粗さを低減し得る一方で、原位置以外でのALD及びエッチングは、得られた半導体デバイスに望ましくない結果及び影響を及ぼすことがある。
図6Aは、エッチング方法及び原位置でのALD方法を使用する、基板搬送のための例示的工程フローである。図6Aにおけるそのような工程フローは、上記で説明した多重パターニング方式に限定されず、エッチング方法及びALD方法を使用する他の方式にも適用してよいことを理解されよう。図6Aにおいて、基板は、ブロック601で、エッチング室内に準備され、エッチング・ステップを受け、ブロック603で、クリーン室に搬送され、洗浄ステップを受け、ブロック605で、ALD室に搬送され、ALDステップを受け、ブロック607で、同じ又は異なるクリーン室に再度搬送され、洗浄ステップを受け、ブロック609で、同じ又は異なるエッチング室に再度搬送される。エッチング−堆積−エッチング・シーケンスを実施する際、基板は、3〜5の異なる室を通じて、4回の基板搬送を受けることがある。いくつかの実装形態では、ブロック601でのエッチング・ステップは、マスクを画定してよく、ブロック605でのALDステップは、マスクの粗さを低減してよく、ブロック609でのエッチング・ステップは、粗さを低減した構造体を画定してよい。
堆積及びエッチングのために個別の室を使用すると、処理時間、処理ステップ、及び費用を増大させ、これにより、処理能力に悪影響を与える。更に、個別の室を使用すると、基板をある室から別の室に搬送することを必要とし、これにより、真空破壊を伴い、不要な材料又は粒子が入って基板と接触する可能性を増大させる。このことにより、基板上の材料機能性及び/又は完全性の損失がもたらされることがある。更に、図6Aに示すように、洗浄工程は、一般に、エッチング工程と堆積工程との間で必要とされ、洗浄工程は、基板上の材料特性及び構造に影響を与えることがある。例えば、希釈フッ化水素(HF)酸による洗浄工程は、マスク構造に影響を与え、性能に悪影響を与えることがある。
粗さを低減する一方で、異なる器具でのエッチング・ステップ及び堆積ステップの実施に関連する問題を回避するために、プラズマ・エッチング室を利用し、堆積を実施するように適合させてよい。典型的な堆積方法には、CVD及びPECVD方法を含んでよい。しかし、上記で説明したように、そのような堆積方法は、典型的には、共形ではない膜を堆積するものである。より詳細には、こうした堆積方法は、縦横比によって決まり、より開放されたスペーサ又はより広いピッチにおいてより多くの材料を堆積させ、異なる縦横比の構造体又はフィーチャにわたり非共形の堆積をもたらす。したがって、疎フィーチャに対するCDバイアスが密フィーチャに対するCDバイアスを超える場合、密フィーチャよりも疎フィーチャ内に多くの堆積が生じる。
本開示のいくつかの実装形態は、プラズマ室におけるALDステップとエッチング・ステップとの一体化に関する。ALDを個別の室で実施するのではなく、CVD/PECVDを同じ室で使用するのではなく、原位置でのALDを使用することによって、独立型ALDツール及び更なる洗浄ツールをなくす。更に、処理時間及び費用は、更なる基板搬送及び洗浄時間をなくすことによって低減される。更に、原位置でのALDを使用すると、基板を望ましくない材料、雰囲気及び/又は湿気に露出させるおそれがある基板搬送の間(例えば、原位置以外での堆積と洗浄との間)の真空破壊を回避する。原位置でのALDは、異なる縦横比の構造体又はフィーチャにわたる非均一な堆積の影響も低減する。原位置でのALDは、上記図1の処理装置100内のプラズマ室等のプラズマ・エッチング室内で実施されるALDを指してよい。
図6Bは、一体化したエッチング方法及びALD方法を使用する、基板搬送のための例示的工程フローである。図6Bにおけるそのような工程フローは、上記で説明した多重パターニング方式に限定されず、エッチング方法及びALD方法を使用する他の方式にも適用してよいことを理解されよう。図6Bにおいて、基板は、エッチング室内に準備され、ブロック651で、エッチング・ステップを受け、ブロック653で、同じエッチング室内に維持されてALDステップを受け、ブロック655で、同じエッチング室内に維持されてエッチング・ステップを受け、ブロック657で、クリーン室に運搬されて洗浄ステップを受ける。エッチング−堆積−エッチング・シーケンスを実施する際、基板は、2つの異なる室を通じて、1回の基板搬送を受けることができる。いくつかの実装形態では、ブロック651でのエッチング・ステップは、マスクを画定してよく、ブロック653でのALDステップは、マスクの粗さを低減してよく、ブロック655でのエッチング・ステップは、粗さを低減した構造体を画定してよい。
図7は、いくつかの実装形態による、エッチング方法及びALD方法の使用により、パターン・フィーチャ内の粗さを低減する例示的方法の流れ図である。方法700における動作は、異なる順序で実施してよい、及び/又は異なる、より少ない若しくは更なる動作で実施してよい。図7は、図8A〜図8Dを参照しながら説明する。
方法700のブロック710において、リソグラフィ動作及び/又はエッチング動作は、任意で、パターン・マスク層を基板上に形成するため、マスク層上に実施される。リソグラフィ動作は、マスク層のレジスト材料上で実施される露出及び現像動作を含んでよい。エッチング動作は、マスク層内に側方に突出する1つ又は複数の足部等のマスク層の部分を除去してよい。いくつかの実装形態では、マスク層は、フォトレジスト材料を含んでよい。パターン・マスク層は、リソグラフィ及び/又はエッチング動作の後、特定量の粗さを有してよい。方法700において、パターン・マスク層を形成するリソグラフィ及び/又はエッチング動作の後、本開示の原位置でのALD動作及びエッチング動作を実施する。
いくつかの実装形態では、パターン・マスク層は、フォトレジスト材料を含む。いくつかの実装形態では、パターン・マスク層は、ハード・マスク材料を含む。ハード・マスク材料の例は、限定はしないが、シリコン酸化物、シリコン窒化物又はシリコン酸窒化物を含む。パターン・マスク層は、レジスト材料を含んでよいが、パターン・マスク層は、レジスト材料を必ずしも含まないことは理解されよう。いくつかの実装形態では、パターン・マスク層は、単一又は多重パターニング工程の後に画定してよい。レジスト又はフォトレジスト層は、パターニング工程において1つ又は複数の下にある構造体を画定するマスクとして働いてよく、1つ又は複数の下にある構造体は、後続の原位置でのALD動作及びエッチング動作のためのパターン・マスク層として働いてよい。又は1つ又は複数の下にある構造体は、更なる下にある構造体を画定するマスクとして働いてよく、更なる下にある構造体は、ブロック710で説明したパターン・マスク層として働いてよい。このことは、原位置でのALD及びエッチングで使用されるパターン・マスク層を、単一又は多重パターニング工程の間の任意の点で形成してよいことを意味する。この任意の点での形成は、方法700において以下で説明する原位置でのALD動作及びエッチング動作が、特定のレジスト材料又は基板の他の材料に適合しないことがあるため、行ってよい。
いくつかの実装形態では、基板上のパターン・マスク層は、下にある材料層内に1つ又は複数の1次元(1−D)フィーチャ及び下にある材料層内に1つ又は複数の2次元(2−D)フィーチャを画定するように構成してよい。したがって、パターン・マスク層は、下にある材料層内に1−D及び2−Dフィーチャを画定する1−D及び2−D構造体によりパターニングしてよい。1−Dフィーチャは、単一方向に対して画定してよく、1−Dフィーチャの例は、相互接続線及びトレンチを含んでよい。2−Dフィーチャは、2つの直交方向に対して画定してよく、2−Dフィーチャの例は、接触穴、バイア、正方形及びブロックを含んでよい。
いくつかの実装形態では、パターン・マスク層は、疎フィーチャ領域内の1つ又は複数の疎フィーチャ、及び密フィーチャ領域内の1つ又は複数の密フィーチャを有してよく、密フィーチャ領域は、疎フィーチャ領域よりも大きなフィーチャ密度を有する。1つ又は複数の密フィーチャは、1つ又は複数の疎フィーチャよりも高い縦横比を有するフィーチャ(例えば、トレンチ、凹部、穴等)をもたらしてよい。
パターン・マスク層は、パターン・マスク層に関連する粗さを有してよく、パターン・マスク層内のパターン縁部は、線形からの偏差を有する。この偏差は、LER及び/又はLWR値によって表してよい。いくつかの実装形態では、所与の検査領域に対するパターン・マスク層のLER値は、約3.0nm超又は約1.0nm超であってよく、所与の検査領域に対するパターン・マスク層のLWR値は、約4.0nm超、約3.0nm超又は約1.5nm超であってよい。しかし、パターン・マスク層のLER値及びLWR値は、パターン・マスク層内に形成されるパターンによって左右され得ることは理解されよう。
図8Aは、パターン・マスク層及び下にある材料層を伴う、例示的な一部作製半導体デバイスの側面図及び上面図を示す概略図である。一部作製半導体デバイス810は、パターン・マスク層806を含み、パターン・マスク層806は、1−D構造体802及び2−D構造体804を含む。1−D構造体802は、下にある層内に線を画定してよく、2−D構造体804は、下にある層内にブロックを画定してよい。パターン・マスク層806を使用して構造体をパターン・マスク層806の真下に形成するが、パターン・マスク層806内のパターンは、図8A〜図8Dにおける線及びブロックではなく、トレンチ及び/又は穴を得るように反転させてよい。一部作製半導体デバイス810は、パターン・マスク層806の下にある第1の材料層812、第1の材料層812の下にある第2の材料層814、及び第2の材料層814の下にある第3の材料層816を含む。材料層812、814及び816のそれぞれは、半導体材料、誘電材料又は導電材料を含んでよい。第1の材料層812の組成は、第2の材料層814の組成とは異なり、第2の材料層814の組成は、第3の材料層816の組成とは異なる。図8Aに示すように、1−D構造体802の外形及び2−D構造体804の外形は、パターン・マスク層806内に粗さを示す、ぎざ付き縁部及び他の非線形性を示す。いくつかの実装形態では、パターン・マスク層806の粗さは、所与の検査長さ又は領域を画定した後、LWR及び/又はLER値の計算によって計算してよい。典型的な検査長さは、約50nmを超えるか、又は約100nmを超える。パターン・マスク層806の粗さを平滑にしないと、この粗さは、一部作製半導体デバイス810内の後続の層に転写され、性能を劣化させる。
図7に戻ると、方法700のブロック720において、プラズマ室内で、原子層堆積(ALD)によって第1の共形層を基板のパターン・マスク層上に堆積する。基板は、第1の材料層、及び第1の材料層にわたるパターン・マスク層を含む。パターン・マスク層は、第1の共形層を堆積する前、第1の粗さを有する。プラズマ室は、後続のエッチング工程を実施するように構成してもよい。プラズマ室の態様は、図1の処理装置100に関して説明してよい。
方法700のいくつかの実装形態では、基板をプラズマ室内に準備する。基板は、プラズマ室内の基板支持体上に配置してよい。いくつかの実装形態では、基板は、200mm、300mm又は450mmの基板等、シリコン基板を含む半導体基板であってよい。いくつかの実装形態では、基板は、パターン・マスク層を伴ってプラズマ室内に準備される。
第1の共形層は、ALDによってパターン・マスク層及びパターン・マスク層の下にある第1の材料層の露出表面上に堆積してよい。パターン・マスク層の露出表面は、パターン・マスク層のフィーチャの側壁を含む。パターン・マスク層上の第1の共形層は、パターン・マスク層の粗い縁部及び隙間を平滑にし、これにより、パターン・マスク層に関連する第1の粗さを低減する。
いくつかの実装形態では、第1の共形層は、酸化物又は窒化物等の不活性化材料を含む。例えば、第1の共形層は、シリコン酸化物(SiOx)を含む。第1の共形層の不活性化材料は、ブロック730で説明したエッチング工程等の後続のエッチング工程の間、パターン・マスク層の側壁を含め、パターン・マスク層を保護するように働いてよい。
いくつかの実装形態では、第1の共形層は、比較的薄く、パターン・マスク層に関連する第1の粗さを低減するのに十分な厚さを有する。例えば、第1の共形層は、約0.2nmから約10nmの間、又は0.5nmから約5nmの間の厚さを有する。
上記のように、ALDは、逐次自己停止反応を使用して薄い材料層を堆積させる技法である。ALDは、高い縦横比のフィーチャの場合でさえ、高度に共形の膜に高ステップ・カバレッジをもたらすために使用してよい。第1の共形層は、ALDによって共形に堆積され、85%超、90%超又は95%超のステップ・カバレッジ等、高ステップ・カバレッジを有してよい。第1の共形層を堆積するALD方法は、1回又は複数のサイクルにおいて行ってよく、各サイクルは、ある吸着制限量の材料をパターン・マスク層上に生成する。各サイクルは、投入ステップを含んでよく、ある制御量の前駆体材料を基板表面に送出し、自己制限様式で基板表面上に吸着させる。このことは、基板表面を飽和させる「浸漬」としても公知である。各サイクルは、投入ステップの後、変換ステップを更に含んでよく、反応物材料を前駆体材料と反応させるように基板表面上に提供し、ある吸着制限量の材料(例えば、不活性化材料)を形成させる。反応物材料は、反応ガスを含んでよく、RF電源は、プラズマ室内で反応ガスのプラズマを生成する。反応ガスは、例えば、酸素含有ガス(例えば、O2)又は窒素含有ガス(例えば、N2若しくはNH3)を含んでよい。ラジカル及び他の荷電種の反応ガスは、前駆体材料と反応し、前駆体材料をある吸着制限量の材料(例えば、不活性化材料)に変換する。いくつかの実装形態では、反応ガスは、約0.5秒から約5秒の間等、比較的短い時間量でRF電力の送出に露出され、プラズマを生成し、前駆体材料を変換する。このことは、基板表面上で、比較的短い時間量で送出されるRF電力からプラズマを使用して前駆体材料を変換する「フラッシュ」動作として公知である。いくつかの実装形態では、除去ステップは、過剰な前駆体材料、反応副産物及び/又は未反応の反応物材料をプラズマ室から取り除き、サイクルを完了させてよい。いくつかの実装形態では、投入ステップ及び変換ステップは、第1の共形層の所望の厚さが堆積されるまで繰り返してよい。
第1の共形層の堆積は、縦横比及びピッチとは無関係である。パターン・マスク層上の第1の共形層の厚さは、比較的均一であり、ほぼ同じ量の材料を異なるCD、異なる縦横比、異なるピッチ、異なる深さ及び異なる1−D/2−Dにわたり堆積するようにする。このことにより、CDローディング、深さローディング及び1−D/2−DのCDバイアス ローディングを最小化する。例えば、1つ又は複数の1−Dフィーチャと1つ又は複数の2−Dフィーチャとの間のCDバイアスは、第1の共形層を堆積した後、実質的に同様である。1つ又は複数の疎フィーチャと1つ又は複数の密フィーチャとの間のCDバイアスは、第1の共形層を堆積した後、実質的に同様である。1−D/2−Dフィーチャの間のCDバイアスに関し、本開示全体を通して「実質的に同様」とは、述べた値のプラス又はマイナス5%の値を指す。
図8Bは、第1の共形層をパターン・マスク層上に堆積した後の、図8Aからの例示的な一部作製半導体デバイスの側面図及び上面図を示す概略図である。一部作製半導体デバイス820は、第1の共形層808を上に堆積したパターン・マスク層806を含む。第1の共形層808は、パターン・マスク層806及び第1の材料層812の側壁及び上表面を含め、パターン・マスク層806及び第1の材料層812の露出表面上に堆積される。第1の共形層808は、1−D構造体802の外形及び2−D構造体804の外形を覆うように比較的線形の外形をもたらす。1−D構造体802及び2−D構造体804のぎざ付き縁部及び他の非線形性は、第1の共形層808によって修正される。パターン・マスク層806の粗さは、第1の共形層808によって低減される。いくつかの実装形態では、パターン・マスク層806のLWR及び/又はLER値は、第1の共形層808を堆積する前にパターン・マスク層806上で計算したLWR及び/又はLER値と同じ検査長さ又は領域に対して、第1の共形層808によって低減される。第1の共形層808がパターン・マスク層806の粗さを改善するだけでなく、CDバイアス/ローディング及び深さバイアス/ローディングへの影響を無視できる状態で、粗さが改善される。第1の共形層808の同じ又は実質的に同様の厚さは、縦横比、ピッチ、深さ及び1−D/2−DのCDとは無関係に、一部作製半導体デバイス820の露出表面上に堆積される。いくつかの実装形態では、第1の共形層808は、約0.5nmから約5nmの間の厚さを有し、シリコン酸化物(SiOx)を含む。
図7を参照すると、方法700のブロック730において、第1の材料層は、プラズマ室においてエッチングされ、パターン・マスク層によって画定される第1の材料層の複数の第1のパターン・フィーチャを形成する。複数の第1のパターン・フィーチャは、第1の材料層をエッチングした後、パターン・マスク層の第1の粗さよりも小さい第2の粗さを有する。ブロック730におけるエッチング動作は、ブロック720における堆積と同じプラズマ室内で実施してよく、動作間における真空破壊を導入させない。
エッチングは、第1の層を通じて異方的にエッチングし、第1の層内にフィーチャを形成してよい。エッチングは、周囲の層の材料に対して、第1の材料層のエッチング材料に選択的であってよい。このようにして、ブロック730で実施されるエッチングは、第1の共形層及び/又はパターン・マスク層をエッチングせずに、第1の材料層を選択的にエッチングする。いくつかの実装形態では、エッチングは、第1の深さまで第1の材料層を除去してよく、第1の深さは、最終深さよりも小さい。例えば、第1の深さは、最終深さの20%、30%、40%、50%、60%等、最終深さのあらゆる適切な割合であってよい。したがって、多重エッチングは、最終深さに到達するように実施してよい。
パターン・マスク層の第1の粗さを平滑にするための第1の共形層の堆積は、粗さ(即ち、第1の粗さ)が下にある材料層に転写されるのをなくすか又は最小化する。粗さは、第2の粗さに対応するLWR及び/又はLER値が、第1の粗さに対応するLWR及び/又はLER値よりも小さいように改善してよい。いくつかの実装形態では、第1の粗さからの第2の粗さまでのLWR及び/又はLER値は、少なくとも40%、少なくとも50%、少なくとも60%又は少なくとも75%改善してよい。例えば、粗さの40%の改善を説明すると、ALD及びエッチングの後、LWR値は、所与の検査長さ/領域にわたりパターン・マスク層上で2.5nmであり、LWR値は、複数の第1のパターン・フィーチャ上で1.5nmである。いくつかの実装形態では、第2の粗さに関連するLWR値は、所与の検査長さ又は領域に対して約2.0nm以下又は約1.5nm以下であり、第2の粗さに関連するLER値は、所与の検査長さ又は領域に対して約2.0nm以下又は約1.5nm以下である。このことは、第1の粗さに関連するLWR値が、同じ検査長さ又は領域に対して約2.5nm以上又は約2.0nm以上であり、第1の粗さに関連するLER値が、同じ検査長さ又は領域に対して約2.5又は約2.0nm以上であるのとは対照的である。いくつかの実装形態では、複数の第1のパターン・フィーチャのCDは、約20nm以下である。
複数の第1のパターン・フィーチャは、様々な形状フィーチャを含んでよく、様々な形状フィーチャには、限定はしないが、相互接続線、接点、バイア、トレンチ、凹部、空間、穴、ブロック、正方形等を含む。複数の第1のパターン・フィーチャは、1−D及び/又は2−Dフィーチャを含んでよい。複数の第1のパターン・フィーチャのCDは、構造体(例えば、線、ブロック)を指してよいが、関連するCDは、空間(例えば、凹部、穴)に関する空間CDであってよいことは理解されよう。
下にある材料層の粗さの改善が達成され、スルーピッチ・ローディングに対する影響は最小である。CDローディング、深さローディング及び1−D/2−DCDバイアス ローディングに対する影響を最小化するための第1の共形層の堆積が、縦横比及びピッチとは無関係であるように、複数の第1のパターン・フィーチャを形成するための第1の材料層のエッチングが与える、CDローディング、深さローディング及び1−D/2−DのCDバイアス ローディングに対する影響は、最小である。したがって、1つ又は複数の疎フィーチャと1つ又は複数の密フィーチャとの間のCDバイアスは、第1の材料層のエッチング後、実質的に同様であり、1つ又は複数の1−Dフィーチャと1つ又は複数の2−Dフィーチャとの間のCDバイアスは、第1の共形層の堆積後、実質的に同様である。したがって、パターン・マスク層は、パターンを転写し、複数の第1のパターン・フィーチャを第1の材料層内に画定し、粗さはより少なく、CDバイアス ローディングを伴わない。
下にある材料層の粗さの改善が達成され、選択性及び処理能力に対する影響は最小である。粗さを低減するためにマスク上でプラズマ処理方法を使用する適用例とは対照的に、ALDによる第1の共形層の堆積及び後続のエッチングは、選択性に影響を及ぼすパターン・マスク層の化学的性質に影響を与えない。ALDによる第1の共形層の堆積は、パターン・マスク層の形状にも影響を与えない。パターン・マスク層の形状への影響は、粗さを低減するプラズマ処理方法で生じることがある。更に、分量最適化及び/又はレジスト最適化等のリソグラフィ最適化を使用する適用例とは対照的に、ALDによる第1の共形層の堆積及び後続のエッチングは、処理能力に悪影響を与える長いサイクル時間を必要としない。そうではなく、ALD及び後続のエッチングによる粗さの低減は、リソグラフィ方法の条件又はパラメータを変更させずに実施してよい。
いくつかの実装形態では、ブロック730で実施されるエッチング動作は、第1の共形層に選択的ではなく、第1の共形層は、エッチングの間保存される。第1の共形層は、第1の材料層よりも実質的に遅いエッチング速度でエッチングしてよい。いくつかの実装形態では、ブロック730で実施されるエッチング動作は、エッチングの間、第1の共形層に選択的である。第1の共形層は、ブロック730において、エッチング動作に抵抗する不活性化材料を必ずしも含まなくてよい。第1の共形層の特定の厚さは、ブロック730でエッチングによって消費してよい。第1の共形層の堆積によるCDゲインは、エッチングによって除去又は制御してよい。
いくつかの実装形態では、ブロック730でのエッチング動作後の堆積−エッチング・シーケンスは、所望の深さ又は最終深さに到達するまで繰り返される。堆積−エッチング・シーケンスは、第1の材料層、第2の材料層又は第3の材料層及び以下同様の層を通じて繰り返してよい。方法700は、ALDによって、複数の第1のパターン・フィーチャ、パターン・マスク層及び第2の材料層の露出表面上に第2の共形層を堆積することと、複数の第1のパターン・フィーチャによって複数の第2のパターン・フィーチャを形成するため、基板の第2の材料層をエッチングすることとを更に含むことができる。複数の第2のパターン・フィーチャは、第1の粗さ及び第2の粗さのそれぞれよりも小さい第3の粗さを有することができる。第3の粗さは、特定のLWR/LER値に対応してよい。いくつかの実装形態では、第3の粗さに関連するLWR値は、第1の粗さ及び第2の粗さの検査長さ/領域に対して約2.0nm、1.5nm又は1.0nm以下であり、第3の粗さに関連するLER値は、第1の粗さ及び第2の粗さの検査長さ/領域に対して約2.0nm、1.5nm又は1.0nm以下である。いくつかの実装形態では、ALDによる第2の共形層の堆積及び第2の材料層のエッチングは、ブロック720における堆積及びブロック730におけるエッチングと同じプラズマ室内で実施してよく、動作間で真空破壊を導入させない。原位置でのALD動作及びエッチング動作を繰り返すことは、CDバイアス ローディングを加えずに、連続的なLWR/LERの改善をもたらすことができる。
図8Cは、複数の第1のパターン・フィーチャを形成するために第1の材料層をエッチングした後の、図8Bからの例示的な一部作製半導体デバイスの側面図及び上面図を示す概略図である。一部作製半導体デバイス830は、パターン・マスク層806、及びパターン・マスク層806の下にあり、パターン・マスク層806によって画定される複数の第1のパターン・フィーチャ822、824を含む。複数の第1のパターン・フィーチャ822、824は、第1の材料層812に選択的なエッチングによって図8A〜図8B内の第1の材料層812から形成される。エッチングは、第2の材料層814又はパターン・マスク層806の除去には選択的ではない。エッチングは、図8B内の第1の共形層808の堆積と同じプラズマ室内で実施してよい。複数の第1のパターン・フィーチャ822、824は、1−Dフィーチャ802によって画定される1−Dフィーチャ822、及び2−Dフィーチャ804によって画定される2−Dフィーチャ824を含んでよい。いくつかの実装形態では、1−Dフィーチャ822は、相互接続線を含み、2−Dフィーチャ824は、ブロック又は接触バイアを含む。複数の第1のパターン・フィーチャ822、824は、第1の共形層808を堆積する前、パターン・マスク層の粗さよりも少ない粗さを有する。いくつかの実装形態では、LWR及び/又はLER値は、パターン・マスク層と同じ所与の検査長さ又は領域にわたって複数の第1のパターン・フィーチャ822、824内で改善される。複数の第1のパターン・フィーチャ822、824は、図8Cにおいて何らかの粗さを呈することがあるが、複数の第1のパターン・フィーチャ822、824に転写されるパターンは、そのマスクよりも小さい粗さを有する。いくつかの実装形態では、第1の共形層808は、第1の材料層812をエッチングした後に除去される。粗さは、複数の第1のパターン・フィーチャ822、824において改善され、CDバイアス/ローディング及び深さバイアス/ローディングに対する影響は無視できる。
図8Dは、複数の第2のパターン・フィーチャを形成するために第2の材料層をエッチングした後の、図8Cからの例示的な一部作製半導体デバイスの側面図及び上面図を示す概略図である。一部作製半導体デバイス840は、複数の第1のパターン・フィーチャ822、824及び第1のパターン・フィーチャ822、824の下にあり、第1のパターン・フィーチャ822、824によって画定される複数の第2のパターン・フィーチャ832、834を含む。複数の第2のパターン・フィーチャ832、834は、第2の材料層814に選択的なエッチングによって図8A〜図8C内の第2の材料層814から形成される。エッチングは、第3の材料層816には選択的ではない。いくつかの実装形態では、堆積−エッチング・シーケンスは、複数の第2のパターン・フィーチャ832、834を形成する際に実施してよい。堆積動作は、ALDによって、複数の第1のパターン・フィーチャ822、824、及び第2の材料層814の露出表面上に第2の共形層(図示せず)を堆積してよい。堆積動作は、図8Cにおけるエッチング動作及び図8Dにおける後続のエッチング動作と同じプラズマ室内で実施してよい。第2の共形層は、複数の第1のパターン・フィーチャ822、824の粗さを更に低減してよい。このようにして、複数の第1のパターン・フィーチャ822、824の粗さが複数の第2のパターン・フィーチャ832、834に転写されないようにする。しかし、堆積動作は、第2の材料層814をエッチングする前に省略してよいことは理解されよう。複数の第2のパターン・フィーチャ832、834は、1−Dフィーチャ832及び2−Dフィーチャ834を含んでよい。いくつかの実装形態では、LWR及び/又はLER値は、複数の第1のパターン・フィーチャ822、824と同じ所与の検査長さ又は領域にわたって第2のパターン・フィーチャ832、834内で改善される。いくつかの実装形態では、パターン・マスク層806は、第2の材料層814をエッチングした後に除去される。粗さは、複数の第2のパターン・フィーチャ832、834において改善され、CDバイアス/ローディング及び深さバイアス/ローディングに対する影響は無視できる。いくつかの実装形態では、原位置でのALD動作及びエッチング動作は、所望の深さ又は層に到達する一方でLWR/LERを改善するまで、一部作製半導体デバイス840内で繰り返してよい。より多くのサイクルの原位置でのALD動作及びエッチング動作により、LWR/LER値の低減をもたらすことができる。代替的に、原位置でのALD動作及びエッチング動作は、エッチング工程においてLWR/LERを低減するのが望ましい任意の点、原位置でのALD動作及びエッチング動作が、異なる下にある材料層(例えば、第3の材料層816)に適合する場所等で実施してよい。原位置でのALD動作及びエッチング動作は、それぞれの下にある材料層で必ずしも実施しない。
上述の粗さを改善するALD動作及びエッチング動作は、ソフト・マスク及びハード・マスク上の粗さを改善してよい。図9A〜9Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法の使用により、ハード・マスクでフィーチャをパターニングする例示的方法の概略図である。図9Dは、ALD工程の前、図9A〜図9Cで使用されるハード・マスクの上面図を示す概略図である。図9Eは、ALD工程の後、図9Dで使用されるハード・マスクの上面図を示す概略図である。
図9Aにおける一部作製半導体デバイス910は、ハード・マスク902、ハード・マスク層902の下にある第1の材料層912、及び第1の材料層912の下にある第2の材料層914を含む。ハード・マスク902は、シリコン酸化物、シリコン窒化物又はシリコン酸窒化物等のハード・マスク材料を含んでよい。いくつかの実装形態では、ハード・マスク902は、疎フィーチャ領域内に1つ又は複数の疎フィーチャ、及び密フィーチャ領域内の1つ又は複数の密フィーチャを有してよい。図9Dにおいて、ハード・マスク902は、いくつかの隙間を有する、ぎざ付き非線形縁部を呈する。
図9Bにおける一部作製半導体デバイス920は、共形層904で被覆したハード・マスク902、ハード・マスク902の下にある第1の材料層912、及び第1の材料層912の下にある第2の材料層914を含む。共形層904は、上記のように、ALDを使用して堆積される。共形層904は、ALDによってハード・マスク902の側壁上、並びに第1の材料層912及びハード・マスク902の上表面上に堆積される。共形層904は、ハード・マスク902の1つ又は複数の疎フィーチャ及び1つ又は複数の密フィーチャにわたり、均一に堆積してよい。共形層904は、ハード・マスク902の粗さを低減し、処理能力、選択性及びスルーピッチ・ローディングに対する影響は、最小である。図9Eにおいて、共形層904は、ハード・マスク902の隙間を充填し、ぎざ付き非線形縁部を平滑にする。
図9Cにおける一部作製半導体デバイス930は、ハード・マスク902、ハード・マスク902の下にあるパターン・フィーチャ922、及びパターン・フィーチャ922の下にある第2の材料層914を含む。パターン・フィーチャ922は、第1の材料層912を通じた異方性エッチングの後に形成され、ハード・マスク902によって画定されてよい。異方性エッチングは、図9B内の第1の共形層904の堆積と同じ室又はツール内で実施してよい。いくつかの実装形態では、共形層904は、異方性エッチングの後、ハード・マスク902の側壁上に留まってよい。いくつかの実装形態では、パターン・フィーチャ922は、タングステン等の導電材料を含んでよい。共形層904は、ハード・マスク902の粗さを低減し、このため、異方性エッチングの後にパターン・フィーチャ922に転写される粗さはより少ない。いくつかの実装形態では、パターン・フィーチャ922におけるLWR/LER値は、ハード・マスク902におけるLWR/LER値よりも少ない。
本開示の実装形態は、高い縦横比のフィーチャの側壁粗さを低減するため、一体化したALD動作及びエッチング動作を使用してよい。いくつかの実装形態では、側壁粗さを低減するALDステップ及びエッチング・ステップのサイクルは、図1で説明したプラズマ処理装置内で実施される。
側壁の保護は、高い縦横比のフィーチャでは達成が困難である。側壁の保護により、フィーチャの側壁とは反対に、フィーチャの底部において優先的なエッチングが促進される。側壁を保護しない場合、フィーチャは、非均一な外形を取り始め、側壁の保護が不十分である。
側壁保護をもたらす従来の技法には、プラズマベースの「フラッシュ」不活性化を伴う。プラズマベースの「フラッシュ」不活性化とは、酸素(O2)、窒素(N2)又は二酸化硫黄(SO2)等のガスを衝突させ、解離ラジカルのプラズマを生成し、表面と反応させ、材料(例えば、酸化物又は窒化物)の不活性化層を表面上に生成することを指してよい。プラズマベースの「フラッシュ」不活性化技法は、概して、側方エッチングによるマスクの多面化、浸食及び下部削り取りの影響を最小化する。プラズマベースの「フラッシュ」不活性化方法は、高い縦横比のフィーチャの露出表面上の材料を消費し、材料の不活性化層を形成し得る。いくつかの実装形態では、ガスは、約0.5秒から約5秒の間等、比較的短い時間量でRF電力の送出に露出され、プラズマベースの「フラッシュ」不活性化のためのプラズマを生成する。解離ラジカルは、露出表面と反応し、材料の不活性化層を形成し、この不活性化層は、エッチングの間、高い縦横比のフィーチャの側壁を保護する。いくつかの実装形態では、プラズマベースの「フラッシュ」不活性化技法におけるプラズマを使用し、下にある材料をエッチングする一方で、高い縦横比のフィーチャの側壁とも反応させ、側壁保護のための材料の不活性化層を形成する。
しかし、プラズマベースの「フラッシュ」不活性化技法を使用する堆積は、縦横比及び材料に左右されることがある。より多くの不活性化材料が、高い縦横比のフィーチャの底部付近よりも、高い縦横比のフィーチャの開口付近に堆積され、より多くの不活性化材料が、密フィーチャよりも、疎フィーチャ上に堆積されることがある。不均一な量の不活性化材料は、様々な材料から作製した構造体上に堆積されることがある。更に、粗さは、高い縦横比のフィーチャの側壁に沿って形成される不活性化材料の不均一な分布のために、高い縦横比のフィーチャの側壁上にもたらされることがある。高い縦横比のフィーチャの側壁上の粗さは、半導体デバイスの性能(例えば、トランジスタの性能)に有害であることがある。
半導体デバイスの基板内のフィーチャは、高い縦横比のフィーチャを含むことがある。高い縦横比のフィーチャは、少なくとも約5:1、少なくとも約10:1、少なくとも約15:1、少なくとも約20:1、少なくとも約30:1、少なくとも約40:1、少なくとも約50:1、又は少なくとも約100:1の縦横比を有するフィーチャである。例えば、高い縦横比を有するフィーチャは、少なくとも10:1であることがある。いくつかの実装形態では、縦横比は、フィーチャの深さと、フィーチャの限界寸法(フィーチャの幅/直径であることが多い)との比較として測定される。本明細書で使用する縦横比は、フィーチャの開口に近接する限界寸法に基づき測定される。いくつかの実装形態では、フィーチャの限界寸法は、約20nm以下であってよい。
側壁粗さを低減する背景において説明するフィーチャは、基板表面内の凹部である。フィーチャは、限定はしないが、円筒形、長方形、正方形、他の多角形凹部、トレンチ等を含め、多くの異なる形状を有し得る。
高い縦横比のフィーチャの基板の形成は、多重エッチング・ステップで行ってよい。言い換えれば、高い縦横比のフィーチャは、所望の深さまでのエッチング、及び最終深さに到達させるための後続の1つ又は複数の更なるエッチング・ステップによって形成してよい。いくつかの実装形態では、各エッチング・ステップは、少なくとも50nm又は少なくとも100nmの深さまでエッチングしてよい。
図10A〜10Bは、プラズマ室において、従来の不活性化方法を使用して不活性化及びエッチングを受ける、様々な材料の高い縦横比のフィーチャの概略図である。上記で説明したように、プラズマ室における従来の不活性化方法は、上記したプラズマベースの「フラッシュ」不活性化方法を含んでよい。
図10Aは、基板1002を含む一部作製半導体デバイス1010の概略図であり、基板1002は、1つ又は複数のフィーチャ1008を画定する複数の構造体1004、1006を含む。一部作製半導体デバイス1010は、構造体1004、1006上に、1つ又は複数のフィーチャ1008を画定するマスク1012を更に含んでよい。1つ又は複数のフィーチャ1008は、基板1002又は基板1002の層(図示せず)を通じたエッチングの後に形成又は画定される。基板1002を通じたエッチングにより、1つ又は複数のフィーチャ1008を50nm以上又は100nm以上の深さまでエッチングしてよい。いくつかの実装形態では、一部作製半導体デバイス1010は、フィン電界効果トランジスタ(FinFET)デバイス等のトランジスタ・デバイスであってよい。構造体1004、1006は、FinFETデバイスのフィンとして働いてよい。いくつかの実装形態では、構造体1004、1006は、シリコン、ゲルマニウム又はそれらの組合せ等、半導体の特性をもつ材料を含んでよい。例えば、複数の構造体1004、1006は、第1の材料を有する第1の構造体1004、及び第2の材料を有する第2の構造体1006を含んでよく、第1の材料は、シリコンを含み、第2の材料は、シリコン−ゲルマニウムを含む。いくつかの実装形態では、1つ又は複数のフィーチャ1008は、一部作製半導体デバイス1010のシャロー・トレンチ・アイソレーション(STI)フィーチャである。
図10Aにおいて、第1の不活性化層1014は、第1の構造体1004の側壁上に形成され、第2の不活性化層1016は、第2の構造体1006の側壁上に形成される。第1の不活性化層1014及び第2の不活性化層1016は、上記したプラズマベースの「フラッシュ」不活性化技法等の従来の不活性化方法を使用して形成してよい。例えば、酸素「フラッシュ」不活性化技法は、酸素プラズマを使用し、第1の構造体1004の側壁及び第2の構造体1006の側壁上の材料と反応させ、第1の不活性化層1014及び第2の不活性化層1016に対して酸化物不活性化材料を生成することができる。第1の不活性化層1014及び第2の不活性化層1016のそれぞれは、酸化物(SiOx)又は窒化物(例えばSixy)を含んでよい。
1つ又は複数のフィーチャ1008の側壁上に堆積される不活性化材料の量は、縦横比及び材料に左右されることがある。第1の不活性化層1014及び第2の不活性化層1016の不活性化材料は、1つ又は複数のフィーチャ1008の底部よりも、1つ又は複数のフィーチャ1008の開口付近により多く堆積されることがある。第1の不活性化層1014及び第2の不活性化層1016における様々な量の不活性化材料が、第1の構造体1004及び第2の構造体1006のそれぞれに形成されることがある。第1の構造体1004及び第2の構造体1006の側壁が従来の不活性化方法内でどのくらい消費されるのかは、第1の構造体1004及び第2の構造体1006の材料に応じて異なることがある。更に、不均一な分布の不活性化材料は、図10Aに示すように、従来の不活性化方法の使用により、1つ又は複数のフィーチャ1008の側壁に沿って堆積される。このことにより、一部作製半導体デバイス1010内に側壁粗さがもたらされる。
図10Bは、図10Aの一部作製半導体デバイス1010の基板1002を通してエッチングした後の、一部作製半導体デバイス1020の概略図である。図10Aにおけるエッチングは、基板1002を通してエッチングし、1つ又は複数のフィーチャ1008を第1の深さまで形成し、図10Bにおけるエッチングは、基板1002を通して第2の深さまでエッチングしてよく、第2の深さは、第1の深さよりも大きい。いくつかの実装形態では、第1の深さ及び第2の深さのそれぞれは、50nm以上、又は100nm以上であり得る。第1の不活性化層1014及び第2の不活性化層1016は、図10Bにおけるエッチングの間、側方エッチングから1つ又は複数のフィーチャ1008の側壁を保護する。図10Bにおけるエッチングは、異方性であり、第1の不活性化層1014及び第2の不活性化層1016に対して、基板1002の材料の除去に選択的であってよい。基板1002の材料のエッチング率は、第1の不活性化層1014及び第2の不活性化層1016のエッチング率よりも実質的に大きい。とはいえ、図10Bに示すように、第1の不活性化層1014及び第2の不活性化層1016は、最終的に除去され、1つ又は複数のフィーチャ1008の側壁は、側方エッチングに露出される。不活性化材料が1つ又は複数のフィーチャ1008の側壁に沿って不均一に分布するため、異なる量の材料が側壁に沿って側方にエッチングされる。図10Bに示すように、側壁粗さは、1つ又は複数のフィーチャ1008の側壁内に呈される。エッチング後、1つ又は複数のフィーチャ1008の底部分よりも、多くの粗さが、1つ又は複数のフィーチャ1008の上側部分に呈される。いくつかの実装形態では、側壁粗さは、LWR及びLER値の一方又は両方に対応してよく、LWR及びLERの一方又は両方は、所与の領域の検査長さに対して、約2.0nm以上である。
図11は、いくつかの実装形態による、一体化したエッチング方法及びALD方法を使用して高い縦横比のフィーチャの側壁粗さを低減する例示的方法の流れ図である。方法1100における動作は、異なる順序で実施してよい、及び/又は異なる、より少ない若しくは更なる動作で実施してよい。図11は、図12A〜図12Cを参照しながら説明する。
方法1100のブロック1110において、基板をプラズマ室内で第1の深さまでエッチングし、第1の深さで複数のフィーチャを形成する。基板は、トランジスタ・デバイス(例えば、FinFETデバイス)等、半導体デバイス用基板であってよい。いくつかの実装形態では、基板をプラズマ室内に準備する。基板は、プラズマ室内の基板支持体上に配置してよい。いくつかの実装形態では、基板は、200mm、300mm又は450mmの基板等、シリコン基板を含む半導体基板とすることができる。プラズマ室は、後続の堆積工程及びエッチング工程を実施するように構成してよい。プラズマ室の態様は、図1の処理装置100に関して説明してよい。
基板内に形成された複数のフィーチャは、高い縦横比のフィーチャであることがある。いくつかの実装形態では、高い縦横比のフィーチャは、少なくとも約5:1、少なくとも約10:1、少なくとも約15:1、少なくとも約20:1、少なくとも約30:1、少なくとも約40:1、少なくとも約50:1、又は少なくとも約100:1の深さ対幅の縦横比を有する。例えば、高い縦横比のフィーチャは、10:1以上である深さ対幅の縦横比を有する。いくつかの実装形態では、フィーチャの限界寸法は、約20nm以下である。
第1の深さまでのエッチングは、基板を通してエッチングし、標的深さ又は最終深さまで部分的にエッチングしてよい。したがって、標的深さ又は最終深さまでのエッチングは、多重エッチング・ステップにわたって生じ得る。いくつかの実装形態では、複数のフィーチャの第1の深さは、少なくとも約50nm又は少なくとも約100nmである。
複数のフィーチャは、第1の深さまでのエッチング後、基板の複数の構造体によって画定してよい。複数の構造体は、半導体デバイスのフィン、相互接続線、電極、接点、バイア等に対応してよい。例えば、複数の構造体は、FinFETデバイスのフィンに対応してよい。いくつかの実装形態では、複数の構造体は、第1の材料を有する1つ又は複数の第1の構造体及び第2の材料を有する1つ又は複数の第2の構造体を含んでよい。第1の材料及び第2の材料のそれぞれは、シリコン、ゲルマニウム及びそれらの組合せを含んでよい。例えば、第1の材料は、シリコンを含んでよく、第2の材料は、シリコン−ゲルマニウムを含んでよい。
いくつかの実装形態では、複数のフィーチャは、異なる縦横比を有してよい。いくつかの実装形態では、複数のフィーチャは、疎フィーチャ領域内の1つ又は複数の疎フィーチャと、及び前記疎フィーチャよりも大きなフィーチャ密度を有する密フィーチャ領域内の1つ又は複数の密フィーチャとを含む。
図12Aは、基板1202を含む一部作製半導体デバイス1210の概略図であり、基板1202は、1つ又は複数のフィーチャ1208を画定する複数の構造体1204、1206を含む。一部作製半導体デバイス1210は、構造体1204、1206上に、1つ又は複数のフィーチャ1208を画定するマスク1212を更に含んでよい。1つ又は複数のフィーチャ1208は、基板1202又は基板1202の層(図示せず)を通じたエッチングの後に画定される。いくつかの実装形態では、基板1202の層は、FinFETデバイスのゲート層であってよい。基板1202を通じたエッチングにより、1つ又は複数のフィーチャ1208を少なくとも約50nm又は少なくとも約100nmの第1の深さまでエッチングしてよい。いくつかの実装形態では、構造体1204、1206は、FinFETデバイスのフィンとして働いてよい。いくつかの実装形態では、構造体1204、1206は、シリコン、ゲルマニウム又はそれらの組合せ等、半導体の特性をもつ材料を含んでよい。例えば、複数の構造体1204、1206は、第1の材料を有する第1の構造体1204、及び第2の材料を有する第2の構造体1206を含んでよく、第1の材料は、シリコンを含み、第2の材料は、シリコン−ゲルマニウムを含む。いくつかの実装形態では、1つ又は複数のフィーチャ1208は、一部作製半導体デバイス1210のSTIフィーチャである。
図11に戻ると、方法1100のブロック1120において、ALDによって第1の不活性化層を複数のフィーチャの側壁上に堆積する。第1の不活性化層は、ALDによって、複数の構造体の露出表面を含め、基板の露出表面上に堆積してよい。複数の構造体の露出表面は、複数のフィーチャの側壁を含む。第1の不活性化層は、共形に堆積し、高い縦横比のフィーチャにさえ、高いステップ・カバレッジを提供してよい。複数のフィーチャのステップ・カバレッジは、85%超、90%超、又は95%超であってよい。ブロック1120における堆積動作は、動作間における真空破壊を導入させずに、ブロック1110におけるエッチング動作と同じプラズマ室内で実施される。ALD及びエッチング動作を同じプラズマ室内で実施すると、それ以外の場合ではより高額な費用及び望ましくないCD/マスク損失をもたらし得る更なる洗浄ステップ及び搬送を低減する。
第1の不活性化層は、ブロック1130で説明したエッチング工程等の後続のエッチング工程の間、フィーチャ・マスク・パターンの側壁を保護するように働いてよい。いくつかの実装形態では、第1の不活性化層は、酸化物又は窒化物等の不活性化材料を含む。例えば、第1の不活性化層は、シリコン酸化物(SiOx)を含む。
いくつかの実装形態では、第1の不活性化層は、比較的薄い。例えば、第1の不活性化層は、約0.2nmから約10nmの間、又は0.5nmから約5nmの間の厚さを有する。
上記のように、ALDは、逐次自己停止反応を使用して薄い材料層を堆積させる技法である。第1の不活性化層を共形に堆積するALD方法は、1回又は複数のサイクルにおいて行ってよく、各サイクルは、ある吸着制限量の材料を複数のフィーチャの側壁上に生成する。各サイクルは、制御量の前駆体材料を基板表面に送出して自己制限様式で基板表面上に吸着させる投入ステップを含んでよい。このことは、飽和のための基板表面の「浸漬」としても公知である。各サイクルは、投入ステップの後、変換ステップを更に含んでよく、反応物材料を前駆体材料と反応させるように基板表面上に提供し、吸着制限量の不活性化材料を形成する。反応物材料は、反応ガスを含んでよく、RF電源は、プラズマ室内で反応ガスのプラズマを生成する。反応ガスは、例えば、酸素含有ガス(例えば、O2)又は窒素含有ガス(例えば、N2若しくはNH3)を含んでよい。ラジカル及び他の荷電種の反応ガスは、前駆体材料と反応し、前駆体材料をある吸着制限量の不活性化材料に変換する。いくつかの実装形態では、反応ガスは、約0.5秒から約5秒の間等、比較的短い時間量でRF電力の送出に露出され、プラズマを生成し、前駆体材料を変換する。このことは、基板表面上で、比較的短い時間量で送出されるRF電力からプラズマを使用して前駆体材料を変換する「フラッシュ」動作として公知である。いくつかの実装形態では、除去ステップは、過剰な前駆体材料、反応副産物及び/又は未反応の反応物材料をプラズマ室から取り除き、サイクルを完了させてよい。いくつかの実装形態では、投入ステップ及び変換ステップは、第1の不活性化層の所望の厚さが堆積されるまで繰り返してよい。
第1の不活性化層の堆積は、縦横比、ピッチ及び材料とは無関係である。複数のフィーチャの側壁に沿った第1の不活性化層の厚さは、比較的均一であり、ほぼ同じ量の材料を異なるCD、異なる縦横比、異なるピッチ、異なる深さ及び異なる材料にわたり堆積するようにする。異なる縦横比に対し、疎フィーチャ領域内の1つ又は複数の疎フィーチャと、密フィーチャ領域内の1つ又は複数の密フィーチャとの間のCDバイアスは、第1の不活性化層を堆積した後、実質的に同様である。したがって、複数のフィーチャの側壁に沿った第1の不活性化層の厚さは、疎フィーチャ領域及び密フィーチャ領域内で実質的に同様である。疎フィーチャと密フィーチャとの間のCDバイアスに関し、本開示全体を通して「実質的に同様」とは、述べた値のプラス又はマイナス5%の値を指す。異なる材料に対し、第1の材料を有する1つ又は複数の第1の構造体と、第2の材料を有する1つ又は複数の第2の構造体との間のCDバイアスは、第1の不活性化層を堆積した後、実質的に同様である。したがって、複数のフィーチャの側壁に沿った第1の不活性化層の厚さは、1つ又は複数の第1の構造体及び1つ又は複数の第2の構造体に対して実質的に同様である。異なる材料の構造体の間のCDバイアスに関し、本開示全体を通して「実質的に同様」とは、述べた値のプラス又はマイナス5%の値を指す。
図12Bは、図12Aの一部作製半導体デバイス1210の露出表面上に第1の不活性化層1214を堆積した後の、一部作製半導体デバイス1220の概略図である。第1の不活性化層1214は、第1の構造体1204及び第2の構造体1206の側壁上を含め、1つ又は複数のフィーチャ1208の側壁上に堆積される。第1の不活性化層1214は、マスク1212の側壁及び上表面並びに基板1202の上表面上に堆積してもよい。第1の不活性化層1214は、前述したALD方法を使用して1つ又は複数のフィーチャ1208の側壁上に共形に堆積してよい。いくつかの実装形態では、第1の不活性化層1214は、酸化物(例えば、SiOx)又は窒化物(例えばSixy)を含んでよい。いくつかの実装形態では、第1の不活性化層1214の厚さは、約0.5nmから約5nmの間であってよい。
1つ又は複数のフィーチャ1208の側壁上に堆積される不活性化材料の量は、縦横比及び材料とは無関係である。したがって、第1の不活性化層1214の厚さは、1つ又は複数のフィーチャ1208の底部よりも、1つ又は複数のフィーチャ1208の開口の付近では実質的に同様であり、第1の不活性化層1214の厚さは、第1の構造体1204及び第2の構造体1206上では実質的に同様である。更に、図12Bに示すように、比較的均一な分布の不活性化材料は、上記したALD方法を使用して1つ又は複数のフィーチャ1208の側壁上に堆積される。このことにより、一部作製半導体デバイス1220内に比較的平滑な側壁がもたらされる。
図11に戻ると、方法1100のブロック1130において、複数のフィーチャを第1の深さよりも大きい第2の深さまでエッチングし、第1の不活性化層は、第2の深さまでエッチングした後、側壁粗さを実質的に低減させるように構成される。ブロック1130におけるエッチング動作は、ブロック1120における堆積動作と同じプラズマ室内で実施され、動作間における真空破壊を導入させない。ALD動作及びエッチング動作を同じプラズマ室内で実施すると、それ以外の場合ではより高額な費用及び望ましくないCD/マスク損失をもたらし得る更なる洗浄ステップ及び搬送を低減する。
エッチングは、複数のフィーチャを通じた第2の深さまでの異方性エッチングであってよい。エッチングは、基板又は基板の層の材料を除去してよい。エッチングは、第1の不活性化層の材料に対して、基板の材料の除去に選択的であってよい。このようにして、ブロック1130で実施されるエッチングは、第1の不活性化層の材料よりも実質的に速い速度で基板の材料をエッチングする。いくつかの実装形態では、第2の深さは、標的深さ又は最終深さに等しくてよい。いくつかの実装形態では、第2の深さは、標的深さ又は最終深さよりも小さくてよい。例えば、第2の深さは、最終深さの30%、40%、50%、60%、70%、80%等、最終深さのあらゆる適切な割合であってよい。第1の深さは、最終深さに対してより一層小さい割合であってよい。したがって、多重エッチングは、最終深さに到達するように実施してよい。複数のフィーチャの縦横比は、最終深さで測定してよく、複数のフィーチャの縦横比は、少なくとも10:1であってよい。
第1の不活性化層は、第2の深さまでエッチングした後、複数のフィーチャの側壁の側方エッチングを実質的に回避し、側壁内の側壁粗さを実質的に低減するように構成される。典型的には、プラズマベースの「フラッシュ」不活性化技法等の従来の不活性化技法は、図10A〜図10Bに示すような側壁粗さをもたらす。いくつかの実装形態では、側壁粗さは、所与の検査領域に関するLWR及びLER値の一方又は両方に対応してよい。いくつかの実装形態では、プラズマベースの「フラッシュ」不活性化技法等の従来の不活性化技法は、約2.0nm以上であるLWR及び/又はLER値をもたらす。LWR及び/又はLER値は、所与の検査長さ又は領域に関して計算してよい。しかし、第1の不活性化層は、原位置でのALD及びエッチングを使用して複数のフィーチャの側壁に沿って一様に堆積されるため、ブロック1130でエッチングした後、比較的平滑な側壁表面が達成される。いくつかの実装形態では、ブロック1120及び1130で説明した原位置でのALD及びエッチング技法は、約1.5nm以下であるLWR及び/又はLER値をもたらす。本明細書で使用する実質的に低減した側壁粗さは、約1.5nm以下であるLWR及び/又はLER値に対応してよい。LWR及び/又はLER値は、所与の検査長さ又は領域に対して計算してよく、従来の不活性化技法と、本開示の原位置でのALD及びエッチング技法とを比較すると、同じであってよい。例えば、高い縦横比のフィーチャにおける側壁粗さは、50nm以上又は100nm以上の検査長さに対して、約1.5nm以下であるLWR値に対応してよい。概して、側壁粗さは、所与の検査長さ又は領域に対して、従来の不活性化技法と本発明の原位置でのALD及びエッチング技法との間で少なくとも25%改善し得る。
いくつかの実装形態では、ブロック1130で実施されるエッチング動作は、第1の不活性化層に選択的ではなく、第1の不活性化層は、エッチングの間保存される。言い換えると、第1の不活性化層は、周囲の材料よりも実質的に遅い速度で除去される。とはいえ、第1の不活性化層の特定の厚さ又は全体は、ブロック1130でエッチングによって消費してよい。第1の不活性化層は、複数のフィーチャの側壁に沿って共形であるため、ブロック1130でエッチングによって除去される第1の不活性化層の量は、複数のフィーチャの側壁に沿って実質的に同様である。このことは、フィーチャの開口付近の第1の不活性化層の厚さは、フィーチャの底部付近の第1の不活性化層の厚さに実質的に同様であることを意味する。ブロック1130でエッチングによって除去される第1の不活性化層の量は、縦横比及び材料とは無関係である。
いくつかの実装形態では、ブロック1130でのエッチング後の堆積−エッチング・シーケンスは、所望の深さ又は最終深さに到達するまで繰り返される。堆積−エッチング・シーケンスは、最終深さに到達させるため、少なくとも2回、少なくとも4回、又は少なくとも5回繰り返してよい。この堆積−エッチング・シーケンスは、同じプラズマ室内で繰り返してよく、真空破壊を動作間で導入させない。したがって、方法1100は、プラズマ室において、ALDによって複数のフィーチャの側壁上に第2の不活性化層を堆積することと、プラズマ室において、複数のフィーチャを第2の深さよりも大きい第3の深さまでエッチングすることとを含む。第2の不活性化層は、第3の深さまでエッチングした後、複数のフィーチャの側壁の側方エッチングを実質的に回避し、側壁粗さを実質的に低減するように構成してよい。いくつかの実装形態では、高い縦横比のフィーチャにおける実質的に低減した側壁粗さは、50nm以上又は100nm以上の検査長さに対して、約1.5nm以下であるLWR値に対応してよい。
図12Cは、図12Bの一部作製半導体デバイス1220に示す第1の深さよりも大きい第2の深さまでエッチングした後の、一部作製半導体デバイス1230の概略図である。いくつかの実装形態では、第1の深さ及び第2の深さのそれぞれは、少なくとも約50nm又は少なくとも約100nmであってよい。いくつかの実装形態では、第2の深さは、所望の深さ若しくは最終深さに対応してよいか、又は所望の深さ若しくは最終深さの割合に対応してよい。第1の不活性化層1214は、エッチングの間、1つ又は複数のフィーチャ1208の側壁を保護し、側壁粗さが1つ又は複数のフィーチャ1208の側壁内に生成されるのを最小化する。図12Cにおけるエッチングは、異方性であり、第1の不活性化層1214に対して、基板1202の材料に選択的であってよい。基板1202のエッチング率は、第1の不活性化層1214のエッチング率よりも実質的に大きい。とはいえ、図12Cに示すように、第1の不活性化層1214は、最終的に除去され、1つ又は複数のフィーチャ1208の側壁は、側方エッチングに露出される。しかし、第1の不活性化層1214を共形に堆積すると、1つ又は複数のフィーチャ1208の側壁は、平滑な外形を呈する。第1の不活性化層1214は、側方エッチングに対する保護層として働くだけでなく、1つ又は複数のフィーチャ1208の側壁内に粗さが生成されるのを実質的に制限する。いくつかの実装形態では、側壁粗さは、LWR及びLER値の一方又は両方に対応してよく、LWR及びLERの一方又は両方は、約1.5nm以下である。
結び
上記の実施形態は、理解を明快にする目的である程度詳細に説明してきたが、特定の変更及び修正を添付の特許請求の範囲内で行ってよいことは明らかであろう。本実施形態の方法、システム及び装置を実施する多くの代替様式があることに留意されたい。したがって、本実施形態は、限定的ではなく、例示的とみなすべきであり、実施形態は、本明細書で示す詳細に限定すべきではない。

Claims (26)

  1. 方法であって、
    プラズマ室において、原子層堆積(ALD)によって基板のパターン・マスク層上に第1の共形層を堆積することであって、前記基板は、第1の材料層及び前記第1の材料層の上にある前記パターン・マスク層を含み、前記パターン・マスク層は、前記第1の共形層を堆積する前、第1の粗さを有する、堆積することと、
    前記プラズマ室において、前記パターン・マスク層によって画定される前記第1の材料層の複数の第1のパターン・フィーチャを形成するため、前記第1の材料層をエッチングすることと
    を含み、前記複数の第1のパターン・フィーチャは、前記第1の材料層をエッチングした後、前記パターン・マスク層の前記第1の粗さよりも小さい第2の粗さを有する、方法。
  2. 請求項1に記載の方法であって、前記第1の粗さは、第1のライン・エッジ・ラフネス(LER)及び第1のライン・ワイズ・ラフネス(LWR)に対応し、前記第2の粗さは、第2のLER及び第2のLWRに対応し、前記第2のLERは、約2.0nm以下であり、前記第2のLWRは、約2.0nm以下である、方法。
  3. 請求項1に記載の方法であって、前記第1の共形層の厚さは、約0.5nmから約5nmの間である、方法。
  4. 請求項1に記載の方法であって、
    前記パターン・マスク層を形成するため、マスク層上でリソグラフィ動作及びエッチング動作を実施すること
    を更に含む方法。
  5. 請求項1に記載の方法であって、前記パターン・マスク層は、フォトレジスト材料を含む、方法。
  6. 請求項1に記載の方法であって、前記パターン・マスク層は、ハード・マスク材料を含む、方法。
  7. 請求項1に記載の方法であって、前記パターン・マスク層は、前記第1の材料層からの1つ又は複数の1次元(1−D)フィーチャ及び前記第1の材料層からの1つ又は複数の2次元(2−D)フィーチャを画定するように構成され、前記1つ又は複数の1−Dフィーチャと前記1つ又は複数の2−Dフィーチャとの間の限界寸法(CD)バイアスは、前記第1の材料層をエッチングした後、実質的に同様である、方法。
  8. 請求項1に記載の方法であって、前記パターン・マスク層は、疎フィーチャ領域内の1つ又は複数の疎フィーチャ、及び前記疎フィーチャ領域よりも大きいフィーチャ密度を有する密フィーチャ領域内の1つ又は複数の密フィーチャを含み、前記1つ又は複数の疎フィーチャと前記1つ又は複数の密フィーチャとの間のCDバイアスは、前記第1の材料層をエッチングした後、実質的に同様である、方法。
  9. 請求項1〜8のいずれか一項に記載の方法であって、前記基板は、前記第1の材料層の下にある第2の材料層を更に含み、前記方法は、
    前記プラズマ室において、ALDによって、前記複数の第1のパターン・フィーチャ、前記パターン・マスク層及び前記第2の材料層の露出表面上に第2の共形層を堆積することと、
    前記プラズマ室において、前記複数の第1のパターン・フィーチャによって画定される複数の第2のパターン・フィーチャを形成するため、前記基板の前記第2の材料層をエッチングすることと
    を更に含む、方法。
  10. 請求項9に記載の方法であって、前記複数の第2のパターン・フィーチャは、前記第1の粗さ及び前記第2の粗さのそれぞれよりも小さい第3の粗さを有する、方法。
  11. 請求項10に記載の方法であって、前記第3の粗さは、第3のLER及び第3のLWRに対応し、前記第3のLERは、約1.5nm以下であり、前記第3のLWRは、約1.5nm以下である、方法。
  12. 請求項1〜8のいずれか一項に記載の方法であって、前記複数の第1のパターン・フィーチャの限界寸法は、約20nm以下である、方法。
  13. 請求項1〜8のいずれか一項に記載の方法であって、前記第1の共形層は、シリコン酸化物(SiOx)を含む、方法。
  14. 請求項1〜8のいずれか一項に記載の方法であって、ALDによる前記第1の共形層の堆積は、
    (a)前記プラズマ室に、前記パターン・マスク層上に吸着する前駆体を導入することと、
    (b)ある吸着制限量の前記第1の共形層を形成するため、プラズマにより前記前駆体を変換することと、
    (c)所望の厚さの前記第1の共形層が前記パターン・マスク層上に堆積されるまで、前記前駆体を導入し、前記前駆体を変換する動作を繰り返すことと
    を含む、方法。
  15. 方法であって、
    プラズマ室において、第1の深さで複数のフィーチャを形成するため、基板の前記第1の深さまでエッチングすることと、
    前記プラズマ室において、原子層堆積(ALD)によって前記複数のフィーチャの側壁上に第1の不活性化層を堆積することと、
    前記プラズマ室において、前記複数のフィーチャを前記第1の深さよりも大きい第2の深さまでエッチングすることと
    を含み、前記第1の不活性化層は、前記第2の深さまでエッチングした後、側壁粗さを実質的に低減させるように構成される、方法。
  16. 請求項15に記載の方法であって、前記側壁のLWR及びLER値の一方又は両方は、前記複数のフィーチャを前記第2の深さまでエッチングした後、約1.5nm以下である、方法。
  17. 請求項15に記載の方法であって、前記複数のフィーチャは、シャロー・トレンチ・アイソレーション(STI)フィーチャを含む、方法。
  18. 請求項15に記載の方法であって、前記複数のフィーチャのそれぞれの深さ対幅の縦横比は、10:1以上である、方法。
  19. 請求項15に記載の方法であって、前記複数のフィーチャの限界寸法は、約20nm以下である、方法。
  20. 請求項15に記載の方法であって、前記第1の深さ及び前記第2の深さのそれぞれは、約100nm以上である、方法。
  21. 請求項15〜20のいずれか一項に記載の方法であって、前記複数のフィーチャは、疎フィーチャ領域内の1つ又は複数の疎フィーチャ、及び前記疎フィーチャ領域よりも大きいフィーチャ密度を有する密フィーチャ領域内の1つ又は複数の密フィーチャを含み、前記複数のフィーチャの側壁に沿った前記第1の不活性化層の厚さは、前記疎フィーチャ領域及び前記密フィーチャ領域内で実質的に同様である、方法。
  22. 請求項15〜20のいずれか一項に記載の方法であって、前記複数のフィーチャは、複数の構造体によって画定され、1つ又は複数の第1の構造体は、第1の材料を含み、1つ又は複数の第2の構造体は、前記第1の材料とは異なる第2の材料を含み、前記複数のフィーチャの側壁に沿った前記第1の不活性化層の厚さは、前記1つ又は複数の第1の構造体及び前記1つ又は複数の第2の構造体で実質的に同様である、方法。
  23. 請求項15〜20のいずれか一項に記載の方法であって、前記複数のフィーチャは、複数の構造体によって画定され、前記構造体のそれぞれは、シリコン、ゲルマニウム又はそれらの組合せを含む、方法。
  24. 請求項15〜20のいずれか一項に記載の方法であって、
    前記プラズマ室において、ALDによって前記複数のフィーチャの側壁上に第2の不活性化層を堆積することと、
    前記プラズマ室において、前記複数のフィーチャを前記基板内の前記第2の深さよりも大きい第3の深さまでエッチングすることと
    を更に含み、前記第2の不活性化層は、前記複数のフィーチャを前記第3の深さまでエッチングした後、側壁粗さを実質的に低減させるように構成される、方法。
  25. 請求項15から20のいずれか一項に記載の方法であって、前記プラズマ室において前記複数のフィーチャをALDによって堆積し、エッチングする動作は、前記動作の間に真空破壊を導入せずに実施される、方法。
  26. 請求項15〜20のいずれか一項に記載の方法であって、前記第1の不活性化層は、シリコン酸化物(SiOx)を含む、方法。
JP2020545228A 2017-11-21 2018-11-13 粗さを低減するための原子層堆積及びエッチング Active JP7399864B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023176548A JP2023179679A (ja) 2017-11-21 2023-10-12 粗さを低減するための原子層堆積及びエッチング

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/820,110 2017-11-21
US15/820,110 US10658174B2 (en) 2017-11-21 2017-11-21 Atomic layer deposition and etch for reducing roughness
PCT/US2018/060743 WO2019103878A1 (en) 2017-11-21 2018-11-13 Atomic layer deposition and etch for reducing roughness

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023176548A Division JP2023179679A (ja) 2017-11-21 2023-10-12 粗さを低減するための原子層堆積及びエッチング

Publications (2)

Publication Number Publication Date
JP2021504974A true JP2021504974A (ja) 2021-02-15
JP7399864B2 JP7399864B2 (ja) 2023-12-18

Family

ID=66532517

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020545228A Active JP7399864B2 (ja) 2017-11-21 2018-11-13 粗さを低減するための原子層堆積及びエッチング
JP2023176548A Pending JP2023179679A (ja) 2017-11-21 2023-10-12 粗さを低減するための原子層堆積及びエッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023176548A Pending JP2023179679A (ja) 2017-11-21 2023-10-12 粗さを低減するための原子層堆積及びエッチング

Country Status (5)

Country Link
US (2) US10658174B2 (ja)
JP (2) JP7399864B2 (ja)
KR (1) KR20200079346A (ja)
CN (1) CN111630638A (ja)
WO (1) WO2019103878A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US11302535B2 (en) * 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10515812B1 (en) * 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process
CN112928165A (zh) * 2019-12-05 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP7437966B2 (ja) 2020-02-21 2024-02-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置
EP3958293A4 (en) * 2020-05-22 2022-12-28 Changxin Memory Technologies, Inc. SEMICONDUCTOR DEVICE HOLES, SEMICONDUCTOR DEVICE PREPARATION METHOD, AND SEMICONDUCTOR DEVICE
US20240027912A1 (en) * 2022-07-25 2024-01-25 Applied Materials, Inc. Method to reduce line edge roughness for euv photoresist pattern

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070196980A1 (en) * 2006-02-22 2007-08-23 Micron Technology, Inc. Line edge roughness reduction
JP2008053438A (ja) * 2006-08-24 2008-03-06 Sharp Corp 半導体装置の製造方法
JP2013162040A (ja) * 2012-02-07 2013-08-19 Tokyo Electron Ltd 基板処理装置、これを備える塗布現像装置、及び基板処理方法
US20130302989A1 (en) * 2012-05-08 2013-11-14 Globalfoundries Inc. Reducing line edge roughness in hardmask integration schemes
JP2015050201A (ja) * 2013-08-29 2015-03-16 東京エレクトロン株式会社 エッチング方法
JP2016131238A (ja) * 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP2017174985A (ja) * 2016-03-24 2017-09-28 キヤノン株式会社 シリコン基板の加工方法
JP2017183689A (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136069A (ja) 1985-12-10 1987-06-19 Hitachi Ltd 半導体装置およびその製造方法
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040077178A1 (en) 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
DE10308888B4 (de) 2003-02-28 2006-12-28 Infineon Technologies Ag Anordnung von Kondensatoren zur Erhöhung der Speicherkapazität in einem Halbleitersubstrat und Verfahren zur Herstellung einer Anordnung
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
KR20060030717A (ko) 2004-10-06 2006-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR101167195B1 (ko) 2005-11-01 2012-07-31 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
KR101605005B1 (ko) 2007-12-21 2016-03-21 램 리써치 코포레이션 Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
WO2009085564A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
JP5223364B2 (ja) 2008-02-07 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
JP4972594B2 (ja) 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
KR100875180B1 (ko) 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8298949B2 (en) 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
EP2306497B1 (en) * 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
CN103635605B (zh) 2011-04-07 2017-03-08 皮考逊公司 具有等离子体源的原子层沉积
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
JP5981106B2 (ja) 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
JP2013229351A (ja) 2012-04-24 2013-11-07 Hitachi High-Technologies Corp ドライエッチング方法
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8916472B2 (en) 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US8815685B2 (en) 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US9412871B2 (en) 2013-03-08 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with channel backside passivation layer device and method
JP2014175470A (ja) * 2013-03-08 2014-09-22 Sumitomo Electric Ind Ltd 炭化珪素半導体装置の製造方法
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
KR101674972B1 (ko) 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
CN105470132B (zh) 2014-09-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9659929B2 (en) 2014-10-31 2017-05-23 Infineon Technologies Dresden Gmbh Semiconductor device with enhancement and depletion FinFET cells
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9659791B2 (en) * 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
KR20170014036A (ko) 2015-07-28 2017-02-08 삼성전자주식회사 반도체 장치
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
WO2017048275A1 (en) 2015-09-18 2017-03-23 Intel Corporation Deuterium-based passivation of non-planar transistor interfaces
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR102452999B1 (ko) 2016-05-03 2022-10-07 삼성전자주식회사 반도체 장치 제조 방법
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10483169B2 (en) 2016-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET cut-last process using oxide trench fill
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) * 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10943830B2 (en) 2017-08-30 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned structure for semiconductor devices
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10553495B2 (en) 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10770354B2 (en) 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070196980A1 (en) * 2006-02-22 2007-08-23 Micron Technology, Inc. Line edge roughness reduction
JP2008053438A (ja) * 2006-08-24 2008-03-06 Sharp Corp 半導体装置の製造方法
JP2013162040A (ja) * 2012-02-07 2013-08-19 Tokyo Electron Ltd 基板処理装置、これを備える塗布現像装置、及び基板処理方法
US20130302989A1 (en) * 2012-05-08 2013-11-14 Globalfoundries Inc. Reducing line edge roughness in hardmask integration schemes
JP2015050201A (ja) * 2013-08-29 2015-03-16 東京エレクトロン株式会社 エッチング方法
JP2016131238A (ja) * 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP2017174985A (ja) * 2016-03-24 2017-09-28 キヤノン株式会社 シリコン基板の加工方法
JP2017183689A (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法

Also Published As

Publication number Publication date
US20190157066A1 (en) 2019-05-23
US11170997B2 (en) 2021-11-09
JP2023179679A (ja) 2023-12-19
TW201933439A (zh) 2019-08-16
KR20200079346A (ko) 2020-07-02
WO2019103878A1 (en) 2019-05-31
US10658174B2 (en) 2020-05-19
CN111630638A (zh) 2020-09-04
JP7399864B2 (ja) 2023-12-18
US20200243326A1 (en) 2020-07-30

Similar Documents

Publication Publication Date Title
JP7399864B2 (ja) 粗さを低減するための原子層堆積及びエッチング
JP7246547B2 (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
JP7023376B2 (ja) 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング
US10446394B2 (en) Spacer profile control using atomic layer deposition in a multiple patterning process
US20220157617A1 (en) Reducing roughness of extreme ultraviolet lithography resists
TWI837105B (zh) 用於降低粗糙度的原子層沉積和蝕刻
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230502

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231012

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20231020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231206

R150 Certificate of patent or registration of utility model

Ref document number: 7399864

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150