CN105762073A - 用于各向异性钨蚀刻的方法和装置 - Google Patents

用于各向异性钨蚀刻的方法和装置 Download PDF

Info

Publication number
CN105762073A
CN105762073A CN201610004225.3A CN201610004225A CN105762073A CN 105762073 A CN105762073 A CN 105762073A CN 201610004225 A CN201610004225 A CN 201610004225A CN 105762073 A CN105762073 A CN 105762073A
Authority
CN
China
Prior art keywords
plasma
etching
substrate
tungstenic material
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610004225.3A
Other languages
English (en)
Other versions
CN105762073B (zh
Inventor
谭忠魁
符谦
萧怀宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105762073A publication Critical patent/CN105762073A/zh
Application granted granted Critical
Publication of CN105762073B publication Critical patent/CN105762073B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及用于各向异性钨蚀刻的方法和装置。各向异性地蚀刻含钨材料(如掺杂或未掺杂的钨金属)的方法包括用Cl2等离子体和用含氧自由基循环处理钨表面。在衬底被电偏置时,进行利用氯等离子体的处理,从而导致在衬底上的主要的水平表面的蚀刻。利用含氧自由基处理来钝化衬底的表面以进行蚀刻,并保护衬底的垂直表面,如凹入特征的侧壁,不被蚀刻。用Cl2等离子体和用含氧自由基进行的处理可以重复以去除所需量的材料。可以在例如氧化硅、氮化硅和氧氮化硅等电介质材料的存在下选择性地进行各向异性蚀刻。

Description

用于各向异性钨蚀刻的方法和装置
技术领域
本发明涉及去除衬底上的材料层的方法。所述方法可特别用于以原子级精度准确地各向异性地去除半导体衬底上的含钨材料。
背景技术
半导体衬底上集成电路(IC)的制造包括沉积和蚀刻多个材料层,以便在电介质材料层中形成所期望的模式的导电通路。各向异性蚀刻(即主要沿所选择的方向的蚀刻)是一种用于在半导体衬底上形成凹入特征的有价值的方法。在各向异性蚀刻的典型的实施例中,所述材料在垂直方向上被蚀刻掉,但没有水平蚀刻。例如,该材料可以从凹入特征的底部被去除,同时保留所述凹入特征的宽度。
钨和含钨材料作为在IC制造中具有许多用途的材料出现,其既作为导电层,以及最近又作为动态随机存取存储器(DRAM)和三维的NAND制造中的硬掩模。虽然存在可用于钨沉积的各种方法,这些方法包括化学气相沉积(CVD)、原子层沉积(ALD)和物理气相沉积(PVD),但用于钨蚀刻的方法仍然是有限的。具体地说,用于各向异性钨蚀刻的方法,特别是用于在电介质材料(诸如氧化硅和氮化硅)存在的情况下有选择性地各向异性蚀刻钨的方法有开发的必要。
发明内容
本发明所提供的方法可以在用于制造集成电路的工艺中使用,诸如例如在制造DRAM和3维NAND设备期间在半导体晶片上的含钨硬掩模的蚀刻中使用。所述方法适用于各向异性去除含钨材料。例如,所述方法可以用于去除在凹入特征的底部的含钨材料,同时防止从凹入特征的侧壁去除含钨材料。大量的含钨材料可定向去除。
在一个方面,提供了一种在等离子体蚀刻装置内各向异性蚀刻半导体衬底上的含钨材料的方法。该方法包括:(a)提供包括含钨材料的半导体衬底至等离子体蚀刻处理室中;(b)将包含Cl2的第一工艺气体引入所述等离子体蚀刻处理室中并形成等离子体,以使所述含钨材料与等离子体激活的氯反应;(c)在(b)以后从所述等离子体蚀刻处理室去除所述第一工艺气体;(d)将包含氧自由基源的第二工艺气体引入所述等离子体蚀刻处理室并形成含氧自由基的等离子体,以钝化所述含钨材料的表面;以及(e)在(d)之后从所述等离子体蚀刻处理室去除所述第二工艺气体,其中所述方法主要沿所选择的方向蚀刻含钨材料。应当将相对较大的电偏置施加到衬底保持支撑件以确保用等离子体激活的氯定向蚀刻。在一些实施方式中,提供至少约500伏的偏压至衬底保持支撑件。
在一些实施方式中,所述第一工艺气体包含Cl2。在一些实现方式中,所述第一工艺气体包含Cl2和惰性气体,所述惰性气体选自由N2、He、Ar、H2和其组合组成的组中。在一些实施方式中,优选在用Cl2处理衬底期间给所述等离子体施以脉冲。例如,等离子体脉冲可以通过以介于约5-50%的占空比间歇性地增大衬底支架处的偏置电压来执行。
各种含氧气体可以用于钝化步骤中。例如,在一些实施方式中,所述第二工艺气体包括选自由O2、O3、CO、CO2、COS、SO2和其混合物组成的组中的氧自由基源。在一些实施方式中,优选使用O2作为所述氧自由基源。
为了蚀刻预期量的材料,重复操作(b)-(e)若干次。在一些实施方式中,重复操作(b)-(e)至少3次。
在一些实施方式中,蚀刻和钝化操作的顺序可以反转。例如,在一些实施方式中,在操作(d)和(e)之前执行操作(b)和(c)。在其他实施方式中,在操作(b)和(c)之前执行操作(d)和(e)。
可以相对于其他材料选择性地执行含钨材料的蚀刻,其中其他材料如氧化硅、氮化硅、氮氧化硅以及它们的组合。在一些实施方式中,所述衬底包括含钨材料的暴露层和选自由氧化硅、氮化硅和氮氧化硅所组成的组中的电介质材料的暴露层,其中相对于所述电介质材料以至少约2:1的选择率选择性地蚀刻所述含钨材料。
在一种实施方式中,所述半导体衬底包括凹入特征,在所述凹入特征的底部包括含钨材料的暴露层。所述蚀刻可以从所述凹入特征的底部去除所述含钨材料而基本不改变所述凹入特征的宽度或直径。在一些实施方式中,所述凹入特征的宽度或直径小于约150nm。
本发明所提供的蚀刻方法可以用于各向异性地蚀刻相对大量的含钨材料。例如,在一些实施方式中,被蚀刻的含钨材料层可以具有介于约1000-7000埃之间的厚度。在一些实施方式中,操作(b)-(e)的一个循环从所述衬底去除介于约10-50nm之间的含钨材料。
本发明所提供的方法可以整合到包含光刻图案化的处理方案中。在一些实施方式中,所提供的方法进一步包括:施加光致抗蚀剂至所述衬底;将所述光致抗蚀剂暴露于光;使所述光致抗蚀剂图案化并将图案转印到所述衬底上;以及选择性地从所述衬底去除所述光致抗蚀剂。
在另一个方面,提供了一种用于各向异性蚀刻半导体衬底上的含钨材料的等离子体蚀刻装置。该装置包括:(a)具有用于引入工艺气体的入口的处理室;(b)被配置为在蚀刻所述含钨材料的过程中用于将所述半导体衬底保持在适当位置的在所述处理室中的衬底支撑件,其中所述衬底支撑件被配置成至少在所述蚀刻的一部分期间被偏置;(c)被配置成用于在所述处理室中形成等离子体的系统;以及(d)包含用于以下操作的指令的控制器:(i)将包含Cl2的第一工艺气体引入等离子体蚀刻处理室中并形成等离子体,以使所述含钨材料与等离子体激活的氯反应;(ii)在(i)以后从所述等离子体蚀刻处理室去除所述第一工艺气体;(iii)将包含氧自由基源的第二工艺气体引入所述等离子体蚀刻处理室并形成包含氧自由基的等离子体,以钝化所述含钨材料的表面;以及(iv)在(iii)之后从所述等离子体蚀刻处理室去除所述第二工艺气体,其中所述方法主要沿所选择的方向蚀刻含钨材料。
在一些实施方式中,提供了一种系统,其中所述系统包括上面所述的装置和步进器(stepper)。
在一些实施方式中,提供了非暂时性计算机机器可读介质,其中所述介质包括用于等离子体蚀刻装置的程序指令,其中所述程序指令包括用于下述操作的指令:(i)将包含Cl2的第一工艺气体引入等离子体蚀刻处理室中并形成等离子体,以使所述含钨材料与等离子体激活的氯反应;(ii)在(i)以后从所述等离子体蚀刻处理室去除所述第一工艺气体;(iii)将包含氧自由基源的第二工艺气体引入所述等离子体蚀刻处理室并形成包含氧自由基的等离子体,以钝化所述含钨材料的表面;以及(iv)在(iii)之后从所述等离子体蚀刻处理室去除所述第二工艺气体,其中所述方法主要沿所选择的方向蚀刻含钨材料。
将参照附图在下文中更详细地描述本发明的这些和其他特征以及优点。
附图说明
图1是用于根据本发明所提供的实施方式的蚀刻方法的工艺流程图。
图2A-2E提供了经历了根据本发明提供的实施方式所述的蚀刻的衬底的截面示意图。
图3是依据本发明的一个实施方式的适于执行本发明所提供的蚀刻反应的装置的截面示意图。
图4是图解根据本文呈现的一个实施方式的在等离子体状态中Cl2蚀刻期间的射频(RF)脉冲的时序图。
具体实施方式
本发明的方面涉及从衬底各向异性蚀刻含钨材料,衬底如部分制造的集成电路。例如,这些方法可以用于在DRAM和三维NAND制造中蚀刻含钨硬掩模以及用于蚀刻含钨栅电极。虽然发现本发明的方法在半导体衬底(即,在结构的任何位置包含半导体材料的衬底)的处理中有特定的用途,但它们也可以用于其它的应用中。所提供的方法通过顺序应用基于Cl2的蚀刻剂和基于氧气的钝化剂实现含钨材料的各向异性的去除。
可通过所提供的方法去除的含钨材料通常含有至少约30%的原子钨(例如至少约50%,至少约75%,或至少约95%的原子钨)。实例包括掺杂的钨金属、未掺杂的钨金属(例如,至少95%纯的钨金属)、化学计量和非化学计量的钨化合物,以及合金和固体溶液。例如钨可以掺杂有氮、碳、磷和它们的组合。
本发明所提供的方法能够在含硅电介质材料(诸如氧化硅、氮化硅和氮氧化硅)的存在下选择性地蚀刻含钨材料。这些电介质材料可以掺杂或不掺杂,而且不必是化学计量的。如本发明中所用的术语“氧化硅”包括各种类型的氧化硅、硅酸盐和基于硅酸盐的玻璃,例如原硅酸四乙酯(TEOS)、硅酸硼玻璃(BSG)、硼磷硅玻璃(BPSG)、高密度等离子体(HDP)CVD氧化物和低于大气压(SA)的CVD氧化物。选择率可以是至少约2:1(指的是在单一期望的方向上的蚀刻速率的比例),并且在一些实施方式中为至少约3:1。
在一些实施方式中,所述方法其特征在于蚀刻的高各向异性。因此,钨可以优先沿所期望的方向例如从凹入特征的底部去除,而凹入特征的宽度可以基本上保持不变(即,可以保持不变或增大不超过50%,如不超过10%)。在一些实施方式中,含钨材料沿优选方向的蚀刻速率(例如,从所述凹入特征的底部去除的速率)与沿非优选方向的蚀刻速率(例如,从凹入特征的侧壁去除的速率)的比率为至少约20比1,优选为50比1,甚至更优选为100比1。
所述方法可以用于去除任何数量的含钨材料,并且对于从相对窄的凹入特征(例如,凹入特征宽度小于约150nm,例如介于约20-150纳米之间,或介于约20-50纳米之间)的底部去除相对大量的含钨材料(如介于约3,000埃-7000埃之间)是特别有用的。本发明所提供的方法可显示出比传统的钨蚀刻显著的优点,传统的钨蚀刻是使用基于氟的化学品进行的。优点可以包括在暴露的氧化硅的存在下对钨蚀刻的高选择性,以及使得能在蚀刻期间保持凹入特征的宽度的优良的各向异性。本发明所提供的方法还可以相比于依靠在等离子体内同时引入Cl2和O2的方法提供明显更高的蚀刻速率。例如在本发明所提供的方法中的蚀刻速率通常为至少约20纳米/分钟,如至少约为40纳米/分钟,例如介于约40-100纳米/分钟之间。本发明所提供的方法的另一优点是在高深宽比的凹入特征中的蚀刻的有效性。因此,例如,含钨材料可以从具有至少约5:1,例如至少约8:1的深宽比的凹入特征(例如,具有约10:1的深宽比的特征)的底部去除。
所述方法现在将使用钨蚀刻作为实例来说明。应理解,上面描述的任何含钨材料可以类似地使用这些方法蚀刻。
用于钨蚀刻方法的工艺流程图的一个示例提供在图1中。图2A-2E示出了图解蚀刻的不同阶段的衬底的截面示意图。
该工艺开始于101,其中将包含钨层的衬底放置到处理室中。通常,可以使用各种各样的包含暴露的钨层的衬底。衬底还可以含有另一种材料的暴露层,所述另一种材料如,氧化硅、氮化硅、氮氧化硅或它们的组合。在一些实施方式中,所述衬底含有凹入特征,而所述钨层设置在所述凹入特征的底部。这样的衬底如图2A所示。所述衬底含有钨层101、布置在所述钨层101上的氧化硅或氮化硅层103、和制成在所述层103中以使得钨在所述凹入特征的底部暴露的凹入特征105。钨可以在能通过施加偏置到所述衬底支架而生成等离子体以便将在等离子体中产生的离子垂直地朝向所述衬底引导的任何处理室中被蚀刻。各种干蚀刻室是适于这类刻蚀的。合适的装置的一个示例是可从Lam研究公司获取的KiyoFX装置。也可使用具有电子回旋共振(ECR)源的装置来进行蚀刻。
在将所述衬底放入处理室之后,使包含Cl2的工艺气体流入所述处理室并形成等离子体,同时施加偏置到衬底上,使得能用氯离子定向蚀刻钨,如操作103中所示。该步骤称为蚀刻步骤。在一些实施方式中,工艺气体基本上由Cl2组成。在一些实施方式中,工艺气体可进一步包括一种或多种惰性气体,诸如氮气、氢气、氦气、氩气和氖气。该工艺气体优选不含有任何显著数量的含氟气体。例如,包含Cl2的工艺气体可以是完全没有含氟气体的或可以含有体积不超过1%的这种气体。在一些实施方式中,包含Cl2的工艺气体不包含显著数量的含氧气体。例如,包含Cl2的工艺气体可以完全没有含氧气体或可以含有体积不超过1%的这种气体。用Cl2等离子体各向异性蚀刻的一个重要特征是施加到衬底支架以确保定向蚀刻的相对高的偏置,其中蚀刻的方向是由Cl+轰击的方向所确定。Cl+被吸引朝向负偏置的衬底并具有足够的能量,以与钨发生反应,并从所述衬底的表面去除在所述反应中形成的WClx。由于Cl+处理的方向性,因此,在所述衬底上的水平表面(例如,凹入特征的底部)去除钨的速率大于从垂直表面(例如,凹入特征的侧壁)去除钨的速率。施加到衬底卡盘的偏置应至少约500V。例如,在一些实施方式中,优选施加至少约750V的偏压,例如至少约1000V的偏压。在一些实施方式中,施加介于约1000V至1700V之间的偏置。在一些实施方式中,优选施加脉冲式偏置,其中,每个脉冲被以上述的电压电平所提供,而脉冲之间的基准电平可以是零伏或相对低的电势(例如,小于约200V)。在一些实施方式中,脉冲的占空比介于约5-50%之间。在一个示例中,占空比为10%,而频率为100赫兹。偏置通常是RF偏置,其在衬底上诱导负的DC偏置。图2B示出了在等离子体状态中Cl2蚀刻期间获得的衬底。竖直朝向衬底的Cl+离子蚀刻在凹入特征105的底部的钨层101。被蚀刻的部分107显示没有实质性加宽凹入特征,因为蚀刻在垂直方向上是各向异性地进行的。
在Cl2处理完成后,过量的Cl2和反应产物从处理室去除。例如,该处理室可以用吹扫气体(例如,氮、氢、氦、氩、氖或它们的组合)吹扫和/或抽空。
接着,在操作105中,使衬底与氧自由基接触。该步骤的目的是为了钝化钨的表面,以有利于随后利用较低能量的Cl+离子进行的蚀刻。该步骤被称为钝化步骤。该步骤包括将第二工艺气体引入处理室并形成等离子体,其中该第二工艺气体包括氧自由基源,例如O2、O3、CO、CO2、COS、SO2和它们的混合物。例如,在一些实施方式中,第二工艺气体包括O2或基本上由O2组成。在一些实施方式中,除了氧自由基源以外,惰性气体(例如,氦、氩、氖或这些气体的组合)也可以被包括在第二工艺气体中。在一些实施方式中,将氢氟烃(如CH3F)添加到该第二工艺气体中。优选地,氢氟烃流与氧自由基源流的流率比小于约1比10。在处理室中形成等离子体,使得所述等离子体不是高度定向的,并在此步骤中的衬底支架的RF偏置保持相对地低或不存在。在一些实施方式中,衬底支架的RF偏置是小于约200伏,如小于约150伏。在一些实施方式中,使用约100伏的偏压。在一些实施方式中,含等离子体的氧自由基是在处理衬底的处理室中直接形成的。在其他实施方式中,氧自由基可以在与处理室连接的远程等离子体室中形成,并且氧自由基通过导管从远程等离子体室供给到处理室。
图2C示出了正在经历氧钝化步骤的衬底。包含WOx和WClOx物质的钝化层109形成在所有可用的钨表面上,在这种情况下,可用的钨表面包括凹入特征的侧壁以及凹入特征的底部的含钨部分。
接着,将处理室吹扫和/或抽空以去除氧自由基源,并且在操作107,判定进一步的蚀刻是否是必需的。在许多实施方式中,Cl2蚀刻和氧钝化步骤应该重复多次,例如至少3次。通常,执行约10-30个循环。当不需要更多的蚀刻时,该工艺完成。
图2D示出了经历在等离子体用Cl2蚀刻的第二循环的衬底。在该步骤中,在凹入特征的侧壁上的钝化层109被保留,但是从凹入特征的底部上的钝化层109通过定向蚀刻被去除。从凹入特征的底部去除了额外量的钨,从而打开未钝化区域111。接下来,在吹扫或抽空处理室后,该区域通过用氧自由基处理而钝化,并提供了如图2E所示的结构,其中钝化层109再次覆盖了所有可用的暴露的钨表面。
蚀刻工艺可去除较大量的钨而基本上不改变凹入特征的宽度。在某些情况下,去除具有介于约1000-7000埃,例如约300-7000埃之间的厚度的层。典型地,每个蚀刻循环(一个用Cl2等离子体进行蚀刻操作,而另一个用氧自由基进行钝化操作)去除介于约5-50nm之间的钨层厚度,例如介于约10-50nm之间的钨层厚度。
每个循环去除的钨的量根据所需保留的所述凹入特征的宽度来控制。因此,如果蚀刻步骤进行较长的时间,以蚀刻掉较厚的钨层,那么所述凹入特征的宽度可能由于一些横向的蚀刻而增大。频繁的钝化步骤确保横向蚀刻被抑制,并且所述凹入特征的宽度基本上保持不变。
Cl2等离子体处理和用氧自由基钝化的顺序可以如图1中所示,或者也可以是相反的。例如,在一些实施方式中,工艺开始于在钝化步骤中用氧自由基处理衬底,并且随后在蚀刻步骤用等离子体的Cl2进行处理,其中在每次处理后该处理室被吹扫和/或抽空以去除过量的反应物和反应产物。接着,钝化步骤和蚀刻步骤可以如蚀刻所期望的数量的材料所必需的那样重复多次。
用于所描述的干法蚀刻方法的工艺条件可以变化。一些实施方式中,工艺在以下条件下进行:压强介于约0.5-400毫托之间,而温度介于约30-100℃之间,如在约30-70℃之间(其中,温度是在衬底支架处测得的)。在一些实施方式中,使用电感耦合等离子体(ICP)激励源,例如在约13.56MHz的频率下操作而产生等离子体。
装置
本发明提供的工艺可以在被适于产生等离子体并且具有用于提供偏置到衬底支架的系统的任何装置中应用。这些装置可以包括具有用于产生感应耦合等离子体(ICP)、电容耦合等离子体(CCP)的源、以及ECR源的装置。
合适的装置通常包括具有用于引入工艺气体的入口的处理室;在处理室中被配置成用于在蚀刻含钨材料期间将半导体衬底保持在适当位置的衬底支撑件,其中该衬底支撑件被配置成至少在蚀刻的一部分期间被偏置;配置成用于在处理室形成等离子体的系统;以及包括用于执行本发明所提供的方法中的任何部分的程序指令的控制器。
图3是可用于实施本发明的蚀刻反应器的一个实例的示意图。在本发明的一个或多个实施方式中,蚀刻反应器300包括天线电极314、设置有气体入口的气体分配板306,和由室壁包围350的蚀刻处理室349内的静电卡盘(ESC)308。在蚀刻处理室349中,衬底204被定位在静电卡盘308的顶部。静电卡盘308提供来自偏置RF源348的偏置作为用于保持衬底204的静电夹持力,或者可以使用另一夹持力来保持衬底204。提供热源310(如加热灯)以加热衬底。气体源324通过分配板306连接到蚀刻室349。
偏置RF源348和激励RF源352通过控制器335电连接到蚀刻处理室349以提供功率给天线电极314和ESC308。偏置RF源348产生偏置RF功率并供给该偏置RF功率至蚀刻处理室349。在本实施例中,偏置RF功率具有2兆赫的频率。激励RF源352产生源RF功率并供给该源RF功率至该蚀刻处理室349。在本实施例中,该源RF功率具有13.56MHz的频率。
所述不同的RF信号可被提供到顶部和底部电极的多种组合。优选地,所述RF的最低频率应通过上面放置有正被蚀刻的材料的ESC308被施加。在该实施例中,激励RF源352提供功率到天线电极314。
控制器335还连接到气体源324,控制器335控制该蚀刻气体流入蚀刻处理室349的流动、室压强,以及RF功率从RF源348和352的产生、ESC308、天线电极314和排放泵320。气体分配板306连接到气体源324,并作为用于来自气体源324的气体的气体入口。排放泵320用作去除气体的气体出口,气体从气体分配板306传送通过等离子体区域340到达排放泵320中。排放泵320可以帮助控制压强。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或专用的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本发明所公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与专用系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是可以允许远程访问晶片处理的fab主机系统的全部或一部分。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所提供的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
上述装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实施例
在一个实验验证的实施例中,提供了一种包括凹入特征的阵列或矩阵的衬底。凹入特征具有10:1的深宽比和27nm的宽度。凹入特征是以氧化硅的材料制成的,并且在凹入特征的底部有钨的暴露层。钨在可从Lam研究公司获得的kiyoFX装置中被蚀刻。将衬底放入处理室,并且将Cl2以100sccm的流速提供到处理室。通过提供300W的功率到13.56MHz的ICP激励源并且通过提供一个1500伏的RF偏置到衬底保持卡盘而形成等离子体。偏置是用10%的占空比的脉冲施加的。偏置脉冲的时序图在图4被示意性示出。衬底在5毫托的压强和70℃的温度(指的是在衬底支架处的温度)下用在等离子体状态中的Cl2处理12秒钟。接着,吹扫处理室,并且使O2(以200sccm的流率提供)和CH3F(以10sccm的流率提供)的混合物流入处理室。通过提供2500W的功率至13.56MHz的ICP激发源同时施加100伏的偏置到衬底支架,在不施加脉冲的情况下形成等离子体。在70℃的温度下,利用氧自由基的处理进行3秒钟。然后吹扫处理室,将蚀刻和钝化步骤重复30次。从凹入特征的底部去除的钨的总厚度为250nm,每蚀刻循环去除约8nm。在蚀刻结束时,凹入特征的宽度为28nm。
应当理解的是,本文所述的示例和实施方式仅用于举例说明性的目的,并且向本领域技术人员提议其各种修改或变化方案。虽然为了清楚起见省略了各种细节,但是多种设计替代方案均可实现。因此,本发明的示例应被视为是举例说明性的而非限制性的,并且本发明并不受限于本文给出的细节,而是可以在所附权利要求的范围内进行修改。

Claims (20)

1.一种在等离子体蚀刻装置内各向异性地蚀刻半导体衬底上的含钨材料的方法,该方法包括:
(a)提供包括含钨材料的半导体衬底至等离子体蚀刻处理室中;
(b)将包含Cl2的第一工艺气体引入所述等离子体蚀刻处理室中并形成等离子体,以使所述含钨材料与等离子体激活的氯反应;
(c)在(b)以后从所述等离子体蚀刻处理室去除所述第一工艺气体;
(d)将包含氧自由基源的第二工艺气体引入所述等离子体蚀刻处理室并形成含氧自由基的等离子体,以钝化所述含钨材料的表面;以及
(e)在(d)之后从所述等离子体蚀刻处理室去除所述第二工艺气体,其中所述方法主要沿所选择的方向蚀刻所述含钨材料。
2.根据权利要求1所述的方法,其中,在(b)中形成等离子体包括提供至少约500伏的偏压至衬底保持支撑件。
3.根据权利要求1所述的方法,其中,所述第一工艺气体主要由Cl2组成。
4.根据权利要求1所述的方法,其中,所述第一工艺气体包含Cl2和惰性气体,所述惰性气体选自由N2、He、Ar、H2和其组合组成的组中。
5.根据权利要求1所述的方法,其中,(b)包括给所述等离子体施以脉冲。
6.根据权利要求1所述的方法,其中,(b)包括以介于约5-50%的占空比给所述等离子体施以脉冲。
7.根据权利要求1所述的方法,其中所述第二工艺气体包括选自由O2、O3、CO、CO2、COS、SO2和其混合物组成的组中的氧自由基源。
8.根据权利要求1所述的方法,其中所述氧自由基源是O2
9.根据权利要求1所述的方法,其中重复操作(b)-(e)。
10.根据权利要求1所述的方法,其中重复操作(b)-(e)至少3次。
11.根据权利要求1所述的方法,其中在操作(d)和(e)之前执行操作(b)和(c)。
12.根据权利要求1所述的方法,其中在操作(b)和(c)之前执行操作(d)和(e)。
13.根据权利要求1所述的方法,其中所述半导体衬底还包含选自由氧化硅、氮化硅和氮氧化硅所组成的组中的电介质材料的暴露层。
14.根据权利要求1所述的方法,其中所述半导体衬底还包含选自由氧化硅、氮化硅和氮氧化硅所组成的组中的电介质材料的暴露层,并且其中以至少约2:1的蚀刻选择率蚀刻所述含钨材料。
15.根据权利要求1所述的方法,其中所述半导体衬底包括凹入特征,在所述凹入特征的底部包括含钨材料的暴露层,并且其中所述蚀刻从所述凹入特征的底部去除所述含钨材料而基本不改变所述凹入特征的宽度或直径。
16.根据权利要求1所述的方法,其中所述方法包括蚀刻具有介于约1000-7000埃之间的厚度的含钨材料层。
17.根据权利要求1所述的方法,其中所述半导体衬底包括凹入特征,在所述凹入特征的底部包括含钨材料的暴露层,其中所述凹入特征的宽度或直径小于约150nm。
18.根据权利要求1所述的方法,其中,单个序列的操作(b)-(e)去除介于约10-50nm之间的所述含钨材料。
19.根据权利要求1所述的方法,其进一步包括:
施加光致抗蚀剂至所述衬底;
使所述光致抗蚀剂暴露于光;
使所述光致抗蚀剂图案化并将图案转印到所述衬底上;
以及选择性地从所述衬底去除所述光致抗蚀剂。
20.一种用于各向异性地蚀刻半导体衬底上的含钨材料的等离子体蚀刻装置,该装置包括:
(a)具有用于引入工艺气体的入口的处理室;
(b)被配置为在蚀刻所述含钨材料的过程中用于将所述半导体衬底保持在适当位置的在所述处理室中的衬底支撑件,其中所述衬底支撑件被配置成至少在所述蚀刻的一部分期间被偏置;
(c)被配置成用于在所述处理室中形成等离子体的系统;以及
(d)包含用于以下操作的指令的控制器:
(i)将包含Cl2的第一工艺气体引入所述等离子体蚀刻处理室中并形成等离子体,以使所述含钨材料与等离子体激活的氯反应;
(ii)在(i)以后从所述等离子体蚀刻处理室去除所述第一工艺气体;
(iii)将包含氧自由基源的第二工艺气体引入所述等离子体蚀刻处理室并形成包含氧自由基的等离子体,以钝化所述含钨材料的表面;以及
(iv)在(iii)之后从所述等离子体蚀刻处理室去除所述第二工艺气体,其中所述方法主要沿所选择的方向蚀刻所述含钨材料。
CN201610004225.3A 2015-01-05 2016-01-05 用于各向异性钨蚀刻的方法和装置 Active CN105762073B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/589,424 2015-01-05
US14/589,424 US9633867B2 (en) 2015-01-05 2015-01-05 Method and apparatus for anisotropic tungsten etching

Publications (2)

Publication Number Publication Date
CN105762073A true CN105762073A (zh) 2016-07-13
CN105762073B CN105762073B (zh) 2019-05-07

Family

ID=56286876

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610004225.3A Active CN105762073B (zh) 2015-01-05 2016-01-05 用于各向异性钨蚀刻的方法和装置

Country Status (5)

Country Link
US (2) US9633867B2 (zh)
JP (1) JP2016139792A (zh)
KR (1) KR102627546B1 (zh)
CN (1) CN105762073B (zh)
TW (1) TWI699831B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108493152A (zh) * 2017-02-13 2018-09-04 朗姆研究公司 创建气隙的方法
CN108735598A (zh) * 2017-04-14 2018-11-02 东京毅力科创株式会社 蚀刻方法
CN108878258A (zh) * 2017-05-11 2018-11-23 Asm Ip控股有限公司 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法
CN110337709A (zh) * 2017-02-27 2019-10-15 朗姆研究公司 原子层蚀刻中方向性的控制
CN111133568A (zh) * 2017-09-22 2020-05-08 朗姆研究公司 用于防止蚀刻重分布层的重分布层制造的系统和方法
CN108493100B (zh) * 2017-02-15 2023-06-06 朗姆研究公司 等离子体辅助的锗掺杂

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106206290A (zh) * 2016-08-24 2016-12-07 京东方科技集团股份有限公司 一种包含铝的膜层图案、其制作方法及其后处理方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102600597B1 (ko) * 2016-11-18 2023-11-10 삼성디스플레이 주식회사 주사 구동부 및 그의 구동방법
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
WO2019027738A1 (en) * 2017-08-04 2019-02-07 Micromaterials Llc ENHANCED METAL CONTACT LANDING STRUCTURE
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) * 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113632208A (zh) * 2019-04-05 2021-11-09 东京毅力科创株式会社 用于高度选择性氧化硅/氮化硅蚀刻的蚀刻和钝化气体组分的独立控制
JP6963097B2 (ja) * 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
US11658043B2 (en) 2020-09-03 2023-05-23 Applied Materials, Inc. Selective anisotropic metal etch

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270415A (zh) * 1998-09-15 2000-10-18 西门子公司 用于减少金属线刻蚀后腐蚀的敷金属层刻蚀技术
US20070023394A1 (en) * 2005-07-27 2007-02-01 Sumitomo Precision Products Co., Ltd. Etching Method and Etching Apparatus
CN102372250A (zh) * 2011-11-15 2012-03-14 北京大学 一种刻蚀金属钨材料的方法
US20140120727A1 (en) * 2012-10-29 2014-05-01 Lam Research Corporation Method of tungsten etching

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786360A (en) * 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPH03248530A (ja) * 1990-02-27 1991-11-06 Oki Electric Ind Co Ltd ドライエッチング方法
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
JPH07130852A (ja) 1993-11-02 1995-05-19 Sony Corp 金属配線材料の形成方法
US6017826A (en) 1998-10-05 2000-01-25 Chartered Semiconductor Manufacturing, Ltd. Chlorine containing plasma etch method with enhanced sidewall passivation and attenuated microloading effect
JP2001085394A (ja) * 1999-09-10 2001-03-30 Hitachi Ltd 表面処理方法および表面処理装置
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US20030092280A1 (en) 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
CN102969240B (zh) * 2007-11-21 2016-11-09 朗姆研究公司 控制对含钨层的蚀刻微负载的方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US8527766B2 (en) 2009-12-30 2013-09-03 Microsoft Corporation Reducing leakage of information from cryptographic systems
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
KR102068677B1 (ko) * 2013-04-10 2020-01-22 삼성전자 주식회사 반도체 소자 제조 방법
JP6192097B2 (ja) * 2013-05-31 2017-09-06 国立研究開発法人物質・材料研究機構 フォトカソード型電子線源、その作成方法及びフォトカソード型電子線源システム
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270415A (zh) * 1998-09-15 2000-10-18 西门子公司 用于减少金属线刻蚀后腐蚀的敷金属层刻蚀技术
US20070023394A1 (en) * 2005-07-27 2007-02-01 Sumitomo Precision Products Co., Ltd. Etching Method and Etching Apparatus
CN102372250A (zh) * 2011-11-15 2012-03-14 北京大学 一种刻蚀金属钨材料的方法
US20140120727A1 (en) * 2012-10-29 2014-05-01 Lam Research Corporation Method of tungsten etching

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108493152A (zh) * 2017-02-13 2018-09-04 朗姆研究公司 创建气隙的方法
CN108493152B (zh) * 2017-02-13 2024-03-08 朗姆研究公司 创建气隙的方法
CN108493100B (zh) * 2017-02-15 2023-06-06 朗姆研究公司 等离子体辅助的锗掺杂
CN110337709A (zh) * 2017-02-27 2019-10-15 朗姆研究公司 原子层蚀刻中方向性的控制
CN110337709B (zh) * 2017-02-27 2024-05-28 朗姆研究公司 原子层蚀刻中方向性的控制
CN108735598A (zh) * 2017-04-14 2018-11-02 东京毅力科创株式会社 蚀刻方法
CN108735598B (zh) * 2017-04-14 2023-01-06 东京毅力科创株式会社 蚀刻方法
CN108878258A (zh) * 2017-05-11 2018-11-23 Asm Ip控股有限公司 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法
CN111133568A (zh) * 2017-09-22 2020-05-08 朗姆研究公司 用于防止蚀刻重分布层的重分布层制造的系统和方法
CN111133568B (zh) * 2017-09-22 2024-04-09 朗姆研究公司 用于防止蚀刻重分布层的重分布层制造的系统和方法

Also Published As

Publication number Publication date
US20170194166A1 (en) 2017-07-06
US9633867B2 (en) 2017-04-25
KR20160084314A (ko) 2016-07-13
TW201715610A (zh) 2017-05-01
TWI699831B (zh) 2020-07-21
US10354888B2 (en) 2019-07-16
JP2016139792A (ja) 2016-08-04
US20160196985A1 (en) 2016-07-07
CN105762073B (zh) 2019-05-07
KR102627546B1 (ko) 2024-01-19

Similar Documents

Publication Publication Date Title
CN105762073B (zh) 用于各向异性钨蚀刻的方法和装置
CN109427576B (zh) 蚀刻方法
CN106067442B (zh) 钴深蚀刻
JP7344867B2 (ja) 水平表面上におけるSiNの選択的堆積
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
JP7097983B2 (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
CN105390389B (zh) 高深宽比结构中的触点清洁
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
EP3038142A1 (en) Selective nitride etch
US11742212B2 (en) Directional deposition in etch chamber
TW202137327A (zh) 半導體裝置製造中之氧化錫膜
CN105762072A (zh) 使用no活化的用于硅氧化物的各向同性原子层蚀刻
CN107045977A (zh) 连续等离子体中的原子层蚀刻
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
TW201921484A (zh) 使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
US20230093011A1 (en) Atomic layer etching of molybdenum
CN111819669B (zh) 形成气隙的系统及方法
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US11651977B2 (en) Processing of workpieces using fluorocarbon plasma
JP2023540034A (ja) 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング
US20040063315A1 (en) Method of dry etching a semiconductor device in the absence of a plasma
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
JP2024521260A (ja) 3d-nand用の高アスペクト比エッチングのための化学物質
TW202405942A (zh) 用於半導體製造的蝕刻方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant