CN108493100B - 等离子体辅助的锗掺杂 - Google Patents

等离子体辅助的锗掺杂 Download PDF

Info

Publication number
CN108493100B
CN108493100B CN201810153420.1A CN201810153420A CN108493100B CN 108493100 B CN108493100 B CN 108493100B CN 201810153420 A CN201810153420 A CN 201810153420A CN 108493100 B CN108493100 B CN 108493100B
Authority
CN
China
Prior art keywords
predetermined
period
doping
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810153420.1A
Other languages
English (en)
Other versions
CN108493100A (zh
Inventor
金允上
权赫俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108493100A publication Critical patent/CN108493100A/zh
Application granted granted Critical
Publication of CN108493100B publication Critical patent/CN108493100B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N15/00Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using the Nernst-Ettingshausen effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明涉及等离子体辅助的锗掺杂。一种在衬底的锗(Ge)层中形成结的方法包括将所述衬底布置在处理室中。所述方法包括使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的时间段。所述方法包括向包含磷(P)气体物质和锑(Sb)气体物质的所述处理室供应掺杂等离子体气体混合物。所述方法包括所述处理室中激励等离子体持续预定的掺杂时间段。所述方法包括在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。

Description

等离子体辅助的锗掺杂
相关申请的交叉引用
本申请要求于2017年2月15日提交的美国临时申请No.62/459,358的权益。以上引用的申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统,并且更具体地涉及用于等离子体辅助的锗掺杂的系统和方法。
背景技术
这里提供的背景描述是为了一般地呈现本公开的背景的目的。在该背景技术部分和在申请时可能无资格另外作为现有技术的描述的方面中描述的程度上的目前提名的发明人的工作既未清楚地,也未隐含地被承认作为针对本公开的现有技术。
在处理诸如半导体晶片之类的衬底期间,可以使用离子注入来形成结。在离子注入期间,期望的掺杂剂物质的离子被加速进入衬底中。例如,包括暴露的锗(Ge)层的衬底可以使用离子注入工艺或I2P掺杂磷(P)物质。锑(Sb)物质也可以使用I2P共掺杂磷(P)物质以增加Ge中的P水平。然而,由于在注入工艺中使用高能量,因此使用I2P形成深度小于10纳米(nm)的超浅结(USJ)是困难的。此外,掺杂步骤之后通常是在高温下退火,这导致进一步扩散到Ge中。换句话说,注入的离子扩散超过所需的USJ深度。
发明内容
一种在衬底的锗(Ge)层中形成结的方法包括:将所述衬底布置在处理室中;向包含磷(P)气体物质和锑(Sb)气体物质的所述处理室供应掺杂等离子体气体混合物;在所述处理室中激励等离子体持续预定的掺杂时间段;以及在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。
在其他特征中,所述方法包括:使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的时间段。
在其他特征中,所述氢气物质包括分子氢(H2)气。所述预处理等离子体气体混合物包含从由氩(Ar)气、氦(He)气和氙(Xe)气组成的组中选择的另一种气体。所述方法包括在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,将所述处理室中的压强控制在3mTorr到10Torr的范围内。
在其他特征中,所述方法包括:在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,供应在从50W至3000W的范围内的RF功率,并且RF偏置功率在从0W至200W的范围内供应。所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个处于从1秒至120秒的范围内。
在其他特征中,所述方法包括:在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,将处理温度控制在从20℃至400℃的范围内。所述锑(Sb)气体物质选自由氯化锑(SbCl5)气体和锑化氢(SbH3)气体组成的组。所述磷(P)气体物质包括磷化氢(PH3)气体。所述方法包括在所述预定的退火时间段期间将所述处理室中的压强控制在从100mTorr到10Torr的范围内。所述退火包括使用闪光灯和激光中的至少一种进行的闪光退火(flash annealing)。
一种用于在衬底的锗(Ge)层中形成结的方法包括:将所述衬底布置在处理室中;通过等离子体掺杂在所述锗(Ge)层中形成所述结,其包括在预定的P掺杂时间段期间使用包括磷(P)气体物质的第一等离子体气体混合物进行的一个或多个等离子体处理以及在预定的Sb掺杂时间段期间使用包含锑(Sb)气体物质的第二等离子体气体混合物进行的一个或多个等离子体处理;以及在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。
在其他特征中,所述方法包括:使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的预处理时间段。
在其他特征中,在预处理期间使用的所述氢气物质包括分子氢(H2)。所述预处理等离子体气体混合物包括从由氩(Ar)气、氦(He)气和氙(Xe)气组成的组中选择的另一种气体。所述方法包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间,将所述处理室中的压强控制在3mTorr到10Torr的范围内。
在其他特征中,所述方法包括:在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间,供应在从50W至3000W的范围内的RF功率,并且在预处理和掺杂中的至少一个期间,供应在从0W到200W的范围内的RF偏置功率。所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个处于1秒至120秒的范围内。
在其他特征中,所述方法包括:在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间将处理温度控制在从20℃至400℃的范围内。所述锑(Sb)气体物质选自由氯化锑(SbCl5)和锑化氢(SbH3)组成的组。在所述预定的P掺杂时间段期间使用的所述磷(P)气体物质包括磷化氢(PH3)。在其他特征中,所述方法包括:在所述预定的退火时间段期间将所述处理室中的压强控制在100mTorr至10Torr的范围内。所述退火包括闪光退火并且使用闪光灯和激光中的至少一种。
具体而言,本发明的一些方面可以阐述如下:
1.一种在衬底的锗(Ge)层中形成结的方法,其包括:
将所述衬底布置在处理室中;
向包含磷(P)气体物质和锑(Sb)气体物质的所述处理室供应掺杂等离子体气体混合物;
在所述处理室中激励等离子体持续预定的掺杂时间段;以及
在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。
2.根据条款1所述的方法,其还包括:
使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的时间段。
3.根据条款2所述的方法,其中所述氢气物质包括分子氢(H2)气。
4.根据条款2所述的方法,其中,所述预处理等离子体气体混合物包含从由氩(Ar)气、氦(He)气和氙(Xe)气组成的组中选择的另一种气体。
5.根据条款2所述的方法,其还包括在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,将所述处理室中的压强控制在3mTorr到10Torr的范围内。
6.根据条款2所述的方法,其还包括:在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,供应在从50W至3000W的范围内的RF功率,并且RF偏置功率在从0W至200W的范围内供应。
7.根据条款2所述的方法,其中所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个处于从1秒至120秒的范围内。
8.根据条款2所述的方法,其还包括:在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,将处理温度控制在从20℃至400℃的范围内。
9.根据条款1所述的方法,其中所述锑(Sb)气体物质选自由氯化锑(SbCl5)气体和锑化氢(SbH3)气体组成的组。
10.根据条款1所述的方法,其中所述磷(P)气体物质包括磷化氢(PH3)气体。
11.根据条款1所述的方法,其进一步包括在所述预定的退火时间段期间将所述处理室中的压强控制在从100mTorr到10Torr的范围内。
12.根据条款1所述的方法,其中所述退火包括使用闪光灯和激光中的至少一种进行的闪光退火。
13.一种用于在衬底的锗(Ge)层中形成结的方法,其包括:
将所述衬底布置在处理室中;
通过等离子体掺杂在所述锗(Ge)层中形成所述结,其包括:
在预定的P掺杂时间段期间使用包括磷(P)气体物质的第一等离子体气体混合物进行的一个或多个等离子体处理;以及
在预定的Sb掺杂时间段期间使用包含锑(Sb)气体物质的第二等离子体气体混合物进行的一个或多个等离子体处理;以及
在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。
14.根据条款13所述的方法,其还包括:
使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的预处理时间段。
15.根据条款14所述的方法,其中在预处理期间使用的所述氢气物质包括分子氢(H2)。
16.根据条款14所述的方法,其中,所述预处理等离子体气体混合物包括从由氩(Ar)气、氦(He)气和氙(Xe)气组成的组中选择的另一种气体。
17.根据条款14所述的方法,其还包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间,将所述处理室中的压强控制在3mTorr到10Torr的范围内。
18.根据条款14所述的方法,其还包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间,供应在从50W至3000W的范围内的RF功率,并且在预处理和掺杂中的至少一个期间,供应在从0W到200W的范围内的RF偏置功率。
19.根据条款14所述的方法,其中所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个处于1秒至120秒的范围内。
20.根据条款14所述的方法,其还包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间将处理温度控制在从20℃至400℃的范围内。
21.根据条款13所述的方法,其中所述锑(Sb)气体物质选自由氯化锑(SbCl5)和锑化氢(SbH3)组成的组。
22.根据条款13所述的方法,其中在所述预定的P掺杂时间段期间使用的所述磷(P)气体物质包括磷化氢(PH3)。
23.根据条款13所述的方法,其还包括在所述预定的退火时间段期间将所述处理室中的压强控制在100mTorr至10Torr的范围内。
24.根据条款13所述的方法,其中所述退火包括使用闪光灯和激光中的至少一种的闪光退火。
根据详细描述、权利要求和附图,本公开的其他适用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本发明的用于Ge的共同掺杂的衬底处理系统的示例的功能框图;
图2是根据本发明的用于共同掺杂Ge以创建超浅结的方法的一个示例的流程图;以及
图3是根据本发明的用于共同掺杂Ge以创建超浅结的另一种方法的示例的流程图。
在附图中,附图标记可以重复使用以标识相似和/或相同的元件。
具体实施方式
衬底处理系统可以用于在诸如半导体晶片之类的衬底上的膜掺杂。衬底处理系统包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中,并且可以使用射频(RF)等离子体来产生用于给衬底的暴露层掺杂的离子。
根据本公开的系统和方法用于使用等离子体掺杂在锗(Ge)中产生超浅结(USJ)。在一些示例中,在用Ρ和锑两种物质掺杂锗层之前,在衬底上执行预处理步骤。预处理可以包括使用具有包含氢气物质的等离子体气体混合物的等离子体。当使用预处理时,由于Ge表面钝化和/或阻挡掺杂剂的扩散路径,P掺杂剂扩散在结中被抑制。
使用磷(P)气体物质和锑(Sb)气体物质进行共同掺杂。Sb掺杂剂提高了P物质的浓度。更特别地,衬底暴露于等离子体以在锗(Ge)层中形成结,该等离子体包含具有磷(P)气体物质和/或锑(Sb)气体物质的等离子体气体混合物。可以理解,P和Sb掺杂剂的掺杂浓度可以通过改变相应的P和Sb气体浓度而改变。掺杂浓度可以相同或不同。
在一些示例中,等离子体气体混合物包括同时输送到处理室的P气体物质和Sb气体物质。在其他示例中,不是同时使用P气体物质和Sb气体物质两者的共同掺杂,而是进行顺序掺杂。例如,顺序掺杂可以包括超级循环,超级循环包括使用P气体物质的第一等离子体掺杂步骤,接着使用Sb物质的第二等离子体掺杂步骤(反之亦然)。超级循环可以重复一次或多次。替代地,可以执行P气体物质和Sb气体物质的掺杂的其他排序变化。Sb掺杂步骤的数量和P掺杂步骤的数量不需要相同。
在一些示例中,在Ge表面形成超浅结(USJ)。在一些示例中,USJ具有小于或等于10nm的深度。在一些示例中,USJ具有小于或等于7nm的深度。在一些示例中,USJ具有小于或等于5nm的深度。
在一些示例中,P气体物质包括磷化氢(PH3),而锑气体物质包括五氯化锑(SbCl5)或锑化氢(SbH3),但也可以使用其他P气体物质或Sb气体物质。在一些示例中,等离子体气体混合物包括惰性气体或载气,例如氦(He)。在一些示例中,使用高密度等离子体(HDP)化学气相沉积(CVD)室,但是也可以考虑其他工艺。
在一些示例中,衬底布置在HDP CVD室中。首先使用包含氢物质的等离子体对衬底进行预处理。然后,使用P离子和Sb离子进行(同时或顺序地)衬底的等离子体掺杂。衬底上的负自偏置吸引来自等离子体的离子,并且在Ge层的表面上产生P离子和/或Sb离子的薄层或皮层。源功率-仅仅等离子体(source power-only plasma)的离子能量解耦条件不使3D轮廓降级。替代地,可以使用恒定或脉冲RF源给衬底主动施以RF偏置。
在一些示例中,在使用P和Sb共同掺杂剂掺杂USJ之后,将衬底退火。例如,闪光退火可以用来将P掺杂剂和Sb掺杂剂扩散到Ge层中的USJ中。尽管可以进行其它类型的退火,但与其他类型的退火相比,闪光退火倾向于限制对Ge层的上表面的加热和扩散。在一些示例中,当在闪光退火至较高温度的过程中衬底的表面或表皮处的温度局部升高时,衬底的其余部分在退火期间保持在低于400℃的温度。
衬底的附加处理可以在退火之后进行。例如,可以使用诸如原子层沉积(ALD)之类的工艺在结上沉积氮化硅(SiN)层或其他层。
现在参考图1,示出了可以使用的衬底处理系统50的示例。尽管衬底处理系统包括电感耦合等离子体(ICP)源,但是可以使用其他类型的处理室和/或等离子体源(例如远程等离子体源)。衬底处理系统50包括处理室58和用于支撑衬底66的衬底支撑件62。在一些示例中,衬底支撑件62包括静电卡盘或真空卡盘。在一些示例中,衬底支撑件62是温度受控的。例如,衬底支撑件62可以包括多个流体通道68和/或加热器72,其可以布置在一个或多个区域中。衬底支撑件62可以进一步包括电极76。
诸如温度和/或压力传感器之类的一个或多个传感器80可以布置在处理室58中以分别感测温度和/或压力。阀82和泵84可用于控制处理室58内的压力和/或从处理室58排出反应物。
热源86在掺杂和/或钝化之后的预定时段期间执行衬底66的退火,诸如快速热处理(RTP)或闪光退火。热源86可以布置在处理室58的外部,邻近诸如介电窗之类的窗88。在一些示例中,热源86包括闪光灯、激光器和/或微波源。
温度控制系统90可以用于控制衬底支撑件62和衬底66的温度。温度控制系统90可以经由连接到流体通道68的泵94控制来自流体源92的流体的供应。温度控制系统90还可控制加热器72的操作。温度控制系统90可包括一个或多个温度传感器96以感测衬底支撑件62的一个或多个位置或区域的温度。
气体输送系统100包括一个或多个气体源104、一个或多个阀106、一个或多个质量流量控制器108和混合歧管110。气体输送系统100选择性地在预处理、掺杂、钝化、退火和/或吹扫期间将等离子体气体混合物、载气和/或惰性气体、和/或吹扫气体混合物供应至处理室58。
RF发生器120-1包括RF源122和将射频功率输出到线圈126的匹配网络124,线圈126围绕处理室58的外壁。射频发生器120-1在激励等离子体的处理室中产生磁场。可以使用另一个RF发生器120-2来在掺杂期间向衬底支撑件62中的电极76供应RF偏置或者可以使用自偏置(无RF偏置)。控制器130与一个或多个传感器80、阀82和泵84、温度控制系统90、热源86、RF发生器120-1和/或120-2以及气体输送系统100通信以控制工艺。
可以改变含P气体和含Sb气体的混合比例,以调整输送到Ge表面的P离子和Sb离子的相对剂量。在另一个示例中,可以使用双充气室喷头来分别输送含P气体和含Sb气体,直到它们到达处理室。气体可以同时供应,或可以脉冲式供应(具有P物质的气体的脉冲,随后是具有Sb物质的气体的脉冲等)。等离子体功率可以与气体脉冲同步被施以脉冲。在一些示例中,可以为每种气体使用不同的等离子体功率以便对P掺杂和Sb掺杂进行独立的工艺控制。
现在参考图2,示出了使用P气体物质和Sb气体物质对Ge进行共同掺杂以创建结的方法200。在210处,将衬底布置在处理室中。在214处,设置室操作参数,例如设置衬底支撑温度、室压力、RF功率和偏置功率以及气体流量。在218处,将包含氢气物质的等离子体气体混合物供应到处理室。在222处,等离子体在处理室中被激励持续第一预定时间段以预处理衬底。在226处,等离子体在第一预定时间段后熄灭并且室被吹扫或以其他方式排空。
在230处,如果需要的话,室操作参数被设置为用于掺杂的其它值。在234处,将包含P气体物质和Sb气体物质的等离子体气体混合物供应到处理室。在238处,等离子体在处理室中被激励持续第二预定时间段。P掺杂剂和Sb掺杂剂沉积在Ge层上和/或Ge层中。在240处,等离子体在第二预定时间段后熄灭,并且处理室被吹扫或排空。在242处,衬底被退火。退火导致掺杂剂扩散到结中。
现在参考图3,示出了使用超级循环来对Ge掺杂的方法300,所述超级循环包括暴露于包含P气体物质的等离子体的一个或多个时间段和暴露于包含Sb气体物质的等离子体的一个或多个时间段。在310处,将衬底布置在处理室中。在314处,设置室操作参数,诸如设置衬底支撑温度、室压力、RF功率和偏置功率以及气体流量。在318处,将包含氢气物质的等离子体气体混合物供应至处理室以预处理衬底。在322处,等离子体在处理室中被激励持续第一预定时间段。在326处,等离子体在第一预定时间段后熄灭,并且室被吹扫或以其他方式排空。
在330处,如果需要,室操作参数被设置为其他值。在334处,将包含P掺杂剂物质的等离子体气体混合物供应至处理室。在338处,等离子体在处理室中被激励持续第二预定时间段。在342处,等离子体在第二预定时间段后熄灭并且室被吹扫或被排空。
在346处,将包含Sb掺杂剂物质的等离子体气体混合物供应至处理室。在350处,等离子体在处理室中被激励持续第三预定时间段。在354处,等离子体在第三预定时间段之后熄灭并且室被吹扫或被排空。在358处,如果需要额外的掺杂,则该方法返回到330。否则,该方法在360处继续并且将衬底退火。
在一些示例中,在预处理期间使用的氢气物质包括分子氢(H2)并且可以包括氩(Ar)、氦(He)、氙(Xe)和/或其混合物。在一些示例中,预处理期间使用的氢气物质包括H2和Ar的混合物、H2和He的混合物和/或He和Xe的混合物。在一些示例中,预处理期间等离子体气体混合物的流率在5标准立方厘米/分钟(sccm)至3000sccm的范围内。在一些示例中,预处理期间的室压强在3毫托(mTorr)到10托(Torr)的范围内。在一些示例中,在预处理期间供应的RF功率在从50W至3000W的范围内。在一些示例中,以从2MHz至16MHz的范围内的频率供应RF功率,但是也可以使用其他频率。在一些示例中,RF偏置功率以从0W到200W的范围供应。在一些示例中,预处理在从2秒到120秒的范围内的预定时间段期间执行。在一些示例中,处理温度保持在从20℃至400℃的范围内。
在一些示例中,在共同掺杂或Sb掺杂期间使用的Sb气体物质包括五氯化锑(SbCl5)或锑化氢(SbH3)。在一些示例中,在共同掺杂或P掺杂期间使用的P气体物质包括磷化氢(PH3)。在一些示例中,掺杂期间掺杂剂气体的流率在从大于0sccm到200sccm的范围内。在一些示例中,掺杂期间的室压强在3毫托到10托的范围内。在一些示例中,在掺杂期间供应的RF功率在50W至3000W的范围内。在一些示例中,以2MHz至16MHz范围内的频率供应RF功率,但也可以使用其他频率。在一些示例中,RF偏置功率在从0W至200W的范围内。在一些示例中,在从1秒至120秒的范围内的预定时段期间执行掺杂。在一些示例中,处理温度保持在20℃至400℃的范围内。
在一些示例中,在退火期间供应的气体物质包括分子氮(N2)、He、Ar和/或其混合物。在一些示例中,在退火期间气体混合物的流率在5sccm至500sccm的范围内。在一些示例中,退火期间的室压强在100毫托至10托的范围内。在一些示例中,使用闪光灯或诸如皮秒(psec)激光器之类的激光器来执行闪光退火。在一些示例中,闪光灯提供10J/cm2至40J/cm2。在一些示例中,激光器供应10mJ/cm2至20mJ/cm2。在一些示例中,在0.1ms至20ms的范围内的预定时段期间执行退火。在一些示例中,处理温度保持在从200℃至400℃的范围内。
前面的描述在本质上仅仅是说明性的并且不意在以任何方式限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定的实施例,但本公开的真实范围不应被如此限制,因为一旦研究附图、说明书和以下权利要求,其它的修改方案就会变得清楚。应当理解的是,方法中的一个或多个步骤可以以不同的顺序(或同时)进行,而不会改变本公开的原理。此外,虽然各实施方式在上面描述为具有某些特征,但相对于本公开的任何实施方式所描述的这些特征中的任何一个或多个可以在任何其它实施方式中实现和/或结合任何其它实施方式中的特征,即使这种结合未明确说明也如此。换言之,所描述的实施方式不是相互排斥的,并且一个或多个实施方式相互的更换方案保持在本公开的范围内。
在元件之间(例如,在模块、电路元件、半导体层等等之间)的空间和功能关系使用各种术语描述,这些术语包括“连接”、“接合”、“耦合”、“相邻”、“紧接”、“在……顶部”、“在……上面”、“在……下面”和“被设置”。除非明确地描述为“直接”,否则当第一和第二元件之间的关系在上述公开内容中描述时,这种关系可以是直接的关系,其中没有其它中间元件存在于第一和第二元件之间,但也可以是间接的关系,其中一个或多个中间元件(或在空间上或功能上)存在于第一和第二元件之间。如本文所用的,短语“A、B和C中的至少一个”应当解释为意味着使用非排他逻辑“或”的逻辑(A或B或C),并且不应当被解释为是指“至少一个A,至少一个B,和至少一个C”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、出入工具和其它传送工具和/或连接到特定系统或与特定系统交互的负载锁的晶片传送。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个层、材料、金属、氧化物、硅、氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是工厂主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室上的工艺。
示例的系统可以包括但不限于:等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (24)

1.一种在衬底的锗(Ge)层中形成结的方法,其包括:
将所述衬底布置在处理室中;
向包含磷(P)气体物质和锑(Sb)气体物质的所述处理室供应掺杂等离子体气体混合物;
在所述处理室中激励等离子体持续预定的掺杂时间段;以及
在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。
2.根据权利要求1所述的方法,其还包括
使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的时间段。
3.根据权利要求2所述的方法,其中所述氢气物质包括分子氢(H2)气。
4.根据权利要求2所述的方法,其中,所述预处理等离子体气体混合物包含从由氩(Ar)气、氦(He)气和氙(Xe)气组成的组中选择的另一种气体。
5.根据权利要求2所述的方法,其还包括在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,将所述处理室中的压强控制在3mTorr到10Torr的范围内。
6.根据权利要求2所述的方法,其还包括:在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,供应在从50W至3000W的范围内的RF功率,并且RF偏置功率在从0W至200W的范围内供应。
7.根据权利要求2所述的方法,其中所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个处于从1秒至120秒的范围内。
8.根据权利要求2所述的方法,其还包括:在所述预定的预处理时间段和所述预定的掺杂时间段中的至少一个期间,将处理温度控制在从20℃至400℃的范围内。
9.根据权利要求1所述的方法,其中所述锑(Sb)气体物质选自由氯化锑(SbCl5)气体和锑化氢(SbH3)气体组成的组。
10.根据权利要求1所述的方法,其中所述磷(P)气体物质包括磷化氢(PH3)气体。
11.根据权利要求1所述的方法,其进一步包括在所述预定的退火时间段期间将所述处理室中的压强控制在从100mTorr到10Torr的范围内。
12.根据权利要求1所述的方法,其中所述退火包括使用闪光灯和激光中的至少一种进行的闪光退火。
13.一种用于在衬底的锗(Ge)层中形成结的方法,其包括:
将所述衬底布置在处理室中;
通过等离子体掺杂在所述锗(Ge)层中形成所述结,其包括:
在预定的P掺杂时间段期间使用包括磷(P)气体物质的第一等离子体气体混合物进行的一个或多个等离子体处理;以及
在预定的Sb掺杂时间段期间使用包含锑(Sb)气体物质的第二等离子体气体混合物进行的一个或多个等离子体处理;以及
在预定的退火时间段期间将所述衬底退火以在所述锗(Ge)层中形成所述结。
14.根据权利要求13所述的方法,其还包括
使用包含氢气物质的预处理等离子体气体混合物,对所述处理室中的所述衬底执行等离子体预处理持续预定的预处理时间段。
15.根据权利要求14所述的方法,其中在预处理期间使用的所述氢气物质包括分子氢(H2)。
16.根据权利要求14所述的方法,其中,所述预处理等离子体气体混合物包括从由氩(Ar)气、氦(He)气和氙(Xe)气组成的组中选择的另一种气体。
17.根据权利要求14所述的方法,其还包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间,将所述处理室中的压强控制在3mTorr到10Torr的范围内。
18.根据权利要求14所述的方法,其还包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间,供应在从50W至3000W的范围内的RF功率,并且在预处理和掺杂中的至少一个期间,供应在从0W到200W的范围内的RF偏置功率。
19.根据权利要求14所述的方法,其中所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个处于1秒至120秒的范围内。
20.根据权利要求14所述的方法,其还包括在所述预定的预处理时间段、所述预定的P掺杂时间段和所述预定的Sb掺杂时间段中的至少一个期间将处理温度控制在从20℃至400℃的范围内。
21.根据权利要求13所述的方法,其中所述锑(Sb)气体物质选自由氯化锑(SbCl5)和锑化氢(SbH3)组成的组。
22.根据权利要求13所述的方法,其中在所述预定的P掺杂时间段期间使用的所述磷(P)气体物质包括磷化氢(PH3)。
23.根据权利要求13所述的方法,其还包括在所述预定的退火时间段期间将所述处理室中的压强控制在100mTorr至10Torr的范围内。
24.根据权利要求13所述的方法,其中所述退火包括使用闪光灯和激光中的至少一种的闪光退火。
CN201810153420.1A 2017-02-15 2018-02-22 等离子体辅助的锗掺杂 Active CN108493100B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762459358P 2017-02-15 2017-02-15
US62/459,358 2017-02-15
US15/889,828 2018-02-06
US15/889,828 US10431462B2 (en) 2017-02-15 2018-02-06 Plasma assisted doping on germanium

Publications (2)

Publication Number Publication Date
CN108493100A CN108493100A (zh) 2018-09-04
CN108493100B true CN108493100B (zh) 2023-06-06

Family

ID=63105321

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810153420.1A Active CN108493100B (zh) 2017-02-15 2018-02-22 等离子体辅助的锗掺杂

Country Status (4)

Country Link
US (2) US10431462B2 (zh)
KR (1) KR102460059B1 (zh)
CN (1) CN108493100B (zh)
TW (1) TWI758409B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10923309B2 (en) 2018-11-01 2021-02-16 Applied Materials, Inc. GeH4/Ar plasma chemistry for ion implant productivity enhancement

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101128922A (zh) * 2005-01-31 2008-02-20 东京毅力科创株式会社 用于制作半导体器件的方法
CN105762073A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 用于各向异性钨蚀刻的方法和装置
CN106298499A (zh) * 2015-06-29 2017-01-04 朗姆研究公司 硼掺杂碳的硬掩膜层的选择性去除

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3544280B2 (ja) * 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
AU2003247513A1 (en) * 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
KR100962623B1 (ko) * 2005-09-03 2010-06-11 삼성전자주식회사 상변화 물질층 형성 방법, 이를 이용한 상변화 메모리 유닛및 상변화 메모리 장치의 제조 방법
US20070212861A1 (en) * 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US8531565B2 (en) * 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US8178430B2 (en) * 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
TWI598938B (zh) * 2012-01-26 2017-09-11 應用材料股份有限公司 包括使用砷化銦膜的金屬矽觸點的元件及設備與方法
US9218973B2 (en) * 2012-06-15 2015-12-22 Applied Materials, Inc. Methods of doping substrates with ALD
DE102015114177A1 (de) * 2015-08-26 2017-03-02 Infineon Technologies Ag Halbleitervorrichtung, Siliziumwafer und Verfahren zum Herstellen eines Siliziumwafers
US10497781B2 (en) * 2015-12-23 2019-12-03 Intel Corporation Methods for doping a sub-fin region of a semiconductor structure by backside reveal and associated devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101128922A (zh) * 2005-01-31 2008-02-20 东京毅力科创株式会社 用于制作半导体器件的方法
CN105762073A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 用于各向异性钨蚀刻的方法和装置
CN106298499A (zh) * 2015-06-29 2017-01-04 朗姆研究公司 硼掺杂碳的硬掩膜层的选择性去除

Also Published As

Publication number Publication date
TW201841229A (zh) 2018-11-16
KR20180094489A (ko) 2018-08-23
KR102460059B1 (ko) 2022-10-27
US10714345B2 (en) 2020-07-14
CN108493100A (zh) 2018-09-04
US20200020537A1 (en) 2020-01-16
TWI758409B (zh) 2022-03-21
US10431462B2 (en) 2019-10-01
US20180233365A1 (en) 2018-08-16

Similar Documents

Publication Publication Date Title
US10147588B2 (en) System and method for increasing electron density levels in a plasma of a substrate processing system
CN107017147B (zh) 包括多个注气点和双注射器的衬底处理室
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US20170009346A1 (en) Multi-cycle ald process for film uniformity and thickness profile modulation
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
KR20210113585A (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
US9741584B1 (en) Densification of dielectric film using inductively coupled high density plasma
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
WO2020247548A1 (en) In-situ control of film properties during atomic layer deposition
CN112400225A (zh) 使用原子层沉积(ald)、抑制剂等离子体和蚀刻的电介质间隙填充
CN106057623B (zh) 减少缘于有含铜合金部件处理室的铜污染的系统和方法
CN108493100B (zh) 等离子体辅助的锗掺杂
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
TW201820386A (zh) 鍺與矽化鍺奈米線裝置之形成方法
US20190051540A1 (en) Systems and methods for plasma-less de-halogenation
KR20200091491A (ko) 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US20240167153A1 (en) In-situ film annealing in substrate processing
KR20240070485A (ko) 상호접속부를 위한 wcn 배리어/접착층의 선택적인 증착
WO2024097068A1 (en) Dual nitrogen flow capability for low fluorine tungsten deposition
CN117981042A (zh) 去除衬底残留物的原位背面等离子体处理

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant