CN116097407A - 各向同性氮化硅移除 - Google Patents

各向同性氮化硅移除 Download PDF

Info

Publication number
CN116097407A
CN116097407A CN202280003817.7A CN202280003817A CN116097407A CN 116097407 A CN116097407 A CN 116097407A CN 202280003817 A CN202280003817 A CN 202280003817A CN 116097407 A CN116097407 A CN 116097407A
Authority
CN
China
Prior art keywords
silicon
etching
precursor
fluorine
containing precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280003817.7A
Other languages
English (en)
Inventor
M·科罗利克
P·E·吉
B·J·布扬
J·苏迪约诺
W·Y·D·杨
洪家伟
S·贾殷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National University of Singapore
Applied Materials Inc
Original Assignee
National University of Singapore
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National University of Singapore, Applied Materials Inc filed Critical National University of Singapore
Publication of CN116097407A publication Critical patent/CN116097407A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

蚀刻含硅材料的示例性方法可包括:将含氟前驱物流入半导体处理腔室的远程等离子体区域。所述方法可包括:在远程等离子体区域内形成等离子体,以产生含氟前驱物的等离子体流出物。所述方法可包括:将等离子体流出物流入半导体处理腔室的处理区域内。基板可定位于处理区域内。基板可包括穿过堆叠层而形成的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层。所述方法可包括:在实质上维持氧化硅的同时,各向同性地蚀刻氮化硅的层。

Description

各向同性氮化硅移除
相关申请的交叉引用
本申请要求在2021年3月12日提交并且名称为“ISOTROPIC SILICON NITRIDEREMOVAL(各向同性氮化硅移除)”的美国临时申请第63/160,287号的权益和优先权,出于所有目的,所述美国临时申请的内容通过引用以其整体并入本文。
技术领域
本技术涉及半导体工艺和设备。更具体而言,本技术涉及相对于其他材料各向同性地蚀刻材料。
背景技术
通过在基板表面上生产错综复杂图案化的材料层的工艺,可制作集成电路。在基板上产生经图案化的材料需要去除暴露的材料的受控方法。化学蚀刻用于各种目的,包括将光刻胶中的图案转移到下方层中、减薄层或减薄表面上已经存在的特征的侧向尺寸。通常期望有蚀刻一种材料比蚀刻另一种材料更快的蚀刻工艺,以促进,例如,图案转移工艺。这样的蚀刻工艺被称为对第一种材料有选择性。由于材料、电路和工艺的多样性,已经开发出对多种材料具有选择性的蚀刻工艺。
基于工艺中所使用的材料,可将蚀刻工艺表示为湿法或干法。相较于其他介电质和材料,湿法HF蚀刻优先去除氧化硅。然而,湿法工艺可能难以穿透一些受限的沟槽,并且有时候还可能使剩余材料变形。在基板处理区域内形成的局部等离子体中产生的干法蚀刻可穿透更受限制的沟槽并展现出精细剩余结构的较小变形。然而,局部等离子体在它们放电时可能会通过产生电弧而损坏基板。
因此,需要可用于产生高品质装置和结构的改良的系统和方法。本技术可满足这些和其他的需求。
发明内容
蚀刻含硅材料的示例性方法可包括:将含氟前驱物流入半导体处理腔室的远程等离子体区域。含氟前驱物的特征可在于分子式XFy,并且y可为大于或等于5。所述方法可包括:在远程等离子体区域内形成等离子体,以产生含氟前驱物的等离子体流出物。所述方法可包括:将等离子体流出物流入半导体处理腔室的处理区域内。可将基板定位于处理区域内,并且基板可包括穿过堆叠层而形成的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层。所述方法可包括:侧向地蚀刻氮化硅层。
在一些实施例中,所述方法可包括:在第一时间段后停止含氟前驱物的流动。所述方法可包括:用净化前驱物净化处理区域。净化前驱物可为或可包括氮。所述方法可包括:使添加前驱物伴随含氟前驱物流动。添加前驱物可为或可包括除了氟以外的卤素。氮化硅与氧化硅间的蚀刻选择性可为大于或约20:1。含氟前驱物可包括:硫、磷、砷、硅、碳、硒或碲。可在约10毫托与约5托间的腔室操作压力下执行所述方法。可在小于或约20℃的腔室温度下执行所述方法。所述方法可包括:使氩、氦或氮伴随含氟前驱物流动。氩、氦或氮对含氟前驱物的流速比可为小于或约2:1。所述方法可包括:使含氢前驱物伴随含氟前驱物流动。所述方法可包括:将钝化层形成于氧化硅之上。
本技术的一些实施例可涵盖蚀刻含硅材料的方法。所述方法可包括:将第一含卤素前驱物和第二含卤素前驱物流入半导体处理腔室的远程等离子体区域内。第一含卤素前驱物可包括氟。第二含卤素前驱物可包括以下一者:氯、溴或碘。所述方法可包括:在远程等离子体区域内形成等离子体,以产生第一含卤素前驱物和第二含卤素前驱物的等离子体流出物。所述方法可包括:将等离子体流出物流入半导体处理腔室的处理区域内。可将基板定位于处理区域内,并且基板可包括穿过堆叠层而形成的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层。所述方法可包括:侧向地蚀刻氮化硅层。所述方法可包括:在第一时间段后停止含卤素前驱物的流动。所述方法可包括:用净化前驱物净化处理区域。
在一些实施例中,所述方法可包括:将钝化层形成于氧化硅的暴露表面之上。钝化层可包括材料的聚合层,所述材料包含第二含卤素前驱物的元素。第一含卤素前驱物可包括硫和氟。所述方法可包括:重复所述方法达至少10个循环。第一时间段可为大于或约30秒。所述方法可包括:使氩或氮伴随含卤素前驱物流动。氩或氮对含卤素前驱物的流速比可为小于或约2:1。
本技术的一些实施例可涵盖蚀刻含硅材料的方法。所述方法可包括:将含氟前驱物流入半导体处理腔室的远程等离子体区域。所述方法可包括:将含卤素前驱物流入半导体处理腔室的远程等离子体区域。含卤素前驱物可包括氯、溴或碘。所述方法可包括:在远程等离子体区域内形成等离子体,以产生含氟前驱物和含卤素前驱物的等离子体流出物。所述方法可包括:将等离子体流出物流入半导体处理腔室的处理区域内。可将基板定位于处理区域内,并且基板可界定穿过堆叠层而形成的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层。所述方法可包括:各向同性地蚀刻氮化硅层。所述方法可包括:在第一时间段后停止含氟前驱物和含卤素前驱物的流动。所述方法可包括:用净化前驱物净化处理区域。
这样的技术可相对于常规的系统和技术提供许多益处。举例而言,所述工艺可选择性地各向同性地蚀刻半导体结构内的氮化硅。此外,所述工艺可在蚀刻工艺期间保护暴露的氧化物。结合以下描述和附图更详细地描述这些和其他实施例以及诸多它们的优点和特征。
附图说明
通过参考说明书的其余部分和附图,可进一步了解本文公开的技术的本质及优点。
图1示出根据本技术的一些实施例的示例性处理系统的一个实施例的俯视平面视图。
图2A示出根据本技术的一些实施例的示例性处理腔室的示意剖面视图。
图2B示出根据本技术的一些实施例的于图2A中示出的处理腔室的一部分的详细视图。
图3示出根据本技术的一些实施例的示例性喷头的仰视平面视图。
图4示出根据本技术的一些实施例的方法中的示例性操作。
图5A至图5C示出根据本技术的一些实施例的正在被处理的基板的剖面视图。
以示意方式包括附图中的数个。应理解到,附图仅用于说明性的目的,并且除非特别说明是按比例示出,否则不应被视为按比例示出。此外,作为示意图,提供附图以用于帮助理解,并且相较于现实的表现,可能不包括所有方面或信息,并且出于说明性的目的,可能包括夸大的材料。
在附图中,相似的部件和/或特征结构可具有相同的参考标号。进一步地,相同类型的各部件可通过在参考标号后加上字母(所述字母区分相似的部件)加以区分。若在说明书中仅使用第一参考标号,则所述描述可适用于具有相同的第一参考标号的相似部件中的任一者,无论字母为何。
具体实施方式
从2D NAND过渡到3D NAND,许多工艺操作从垂直操作修改为水平操作。此外,随着3D NAND结构的被形成的单元数量增加,存储器孔和其他结构的深宽比增加,有时候甚至会显著增加。在3D NAND处理期间,占位层和介电材料的堆叠可形成电极间介电层或IPD层。在完全去除材料并且用金属替换其之前,可对这些占位层执行各种操作以放置结构。尽管可在单元结构的一侧并入金属化,但之前可能已经在结构的另一侧执行操作,诸如形成浮栅(floating gate)或电荷陷阱层。虽然这些层可形成在存储器孔内,但是在垂直分离的存储器单元之间可能会发生串扰。减少这种通信的一种方法可包括在形成这些层之前蚀刻占位材料以允许介电材料进一步将各个单元材料层与相邻单元分开。
许多常规技术利用湿法蚀刻来接近各个单元占位材料,以在形成诸如电荷陷阱层之类的层前对占位材料执行侧向蚀刻。然而,湿法蚀刻可比其他蚀刻技术更强大,并且湿法蚀刻可超过必要或期望的程度蚀刻占位材料。举例而言,湿法蚀刻可能过度蚀刻一些特征。此外,由于蚀刻剂的表面张力,小的形状因子(form factor)结构的湿法蚀刻可能导致图案塌陷或变形。使用湿法蚀刻剂也可能需要后续操作以去除在沟槽或孔内形成的残留物。也可执行干法蚀刻技术,然而,许多被利用的干法蚀刻剂额外地蚀刻硅和氧化硅,降低了工艺的选择性。
本技术通过执行干法蚀刻工艺来克服这些问题,所述干法蚀刻工艺可在限制氧化物的蚀刻的同时选择性地侧向蚀刻氮化硅。通过利用特定前驱物组合,可以在蚀刻工艺期间保护氧化物的暴露表面。以此方式,保护材料可以允许执行蚀刻操作,所述蚀刻操作可能不会去除或只能最小程度地去除下方结构材料。
尽管其余公开内容将常规地利用所公开的技术来标识具体的蚀刻工艺,但将可容易理解到,所述系统和方法同样可适用于可能发生在所述腔室中的沉积和清洁工艺。因此,所述技术不应被视为仅限于与这些蚀刻工艺或腔室一起使用的技术。此外,尽管将示例性腔室描述为向本技术提供基础,但应理解,本技术实际上可应用于可允许所描述的单腔室操作的任何半导体处理腔室。
图1示出了根据实施例的沉积、蚀刻、烘烤和固化腔室的处理系统100的一个实施例的顶部平面视图。在附图中,一对前开式标准舱102供应各种大小的基板,所述基板由机器人手臂104接收并且放置到低压保持区106中,接着将所述基板放置到基板处理腔室108a至108f中的一者中,所述基板处理腔室108a至108f定位于串联区块109a至109c中。可使用第二机器人手臂110将基板晶片从保持区106运送至基板处理腔室108a至108f并返回。每个基板处理腔室108a至108f可经装配以执行数个基板处理操作,包括本文所述的干法蚀刻工艺,还有循环层沉积、原子层沉积、化学气相沉积、物理气相沉积、蚀刻、预清洁、脱气、定向以及其他基板工艺。
基板处理腔室108a至108f可包括用于沉积、退火、固化和/或蚀刻基板晶片上的介电膜的一个或多个系统部件。在一个配置中,两对处理腔室(例如108c和108d、以及108e和108f)可用于将介电材料沉积在基板上,并且第三对处理腔室(例如108a和108b)可用于蚀刻所沉积的介电质。在另一个配置中,所有三对腔室(例如108a至108f)可经配置以蚀刻基板上的介电膜。可在不同的实施例中所示的与制造系统分开的一个或多个腔室中执行所述述的工艺中的任何一者或多者。将理解到,可由系统100构想用于介电膜的沉积、蚀刻、退火和固化腔室的其他配置。
图2A示出示例性处理腔室系统200的剖面视图,其中处理腔室内具有分隔的等离子体产生区域,并且其可经配置以执行如下文中进一步描述的工艺。在例如氮化钛、氮化钽、钨、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等的膜蚀刻期间,可通过气体入口组件205来将工艺气体流入第一等离子体区域215。可任选地在系统中包括远程等离子体系统201,并且可处理第一气体,第一气体接着行进通过气体入口组件205。入口组件205可包括两个或更多个不同的气体供应通道,其中第二通道可绕过RPS 201(若包括的话)。
示出冷却板203、面板217、离子抑制器223、喷头225和具有基板255设置于其上的基板支撑件265,并可根据实施例包括以上各者。基座265可具有热交换通道,热交换流体流过所述热交换通道以控制基板的温度,其可被操作以在处理操作期间加热和/或冷却基板或晶片。也可使用嵌入式电阻加热器元件以电阻式加热基座265的晶片支撑盘(其可包含铝、陶瓷或其组合),以达到相对高温,诸如从高达或约100℃至高于或约1100℃。
面板217可为金字塔形、圆锥形或其他类似结构,其中窄的顶部部分扩展到宽的底部部分。如所示,面板217可额外地为平坦的,并包括用于分配工艺气体多个贯穿通道。为了更均匀地输送至第一等离子体区域215,取决于RPS 201的使用,可使等离子体产生气体和/或等离子体激发物种通过图2B所示的面板217中的多个孔。
示例性配置可包括使气体入口组件205通至由面板217与第一等离子体区域215隔开的气体供应区域258中,使得气体/物种通过面板217中的孔流入第一等离子体区域215中。可以选择结构和操作特征,以防止等离子体从第一等离子体区域215显著回流回到供应区域258、气体入口组件205和流体供应系统210中。面板217(或腔室的导电顶部)和喷头225示出为具有位于特征之间的绝缘环220,这允许相对于喷头225和/或离子抑制器223对面板217施加AC电位。绝缘环220可位于面板217与喷头225和/或离子抑制器223之间,使得电容耦合等离子体(CCP)能在第一等离子体区域中形成。挡板(未示出)可额外地位于第一等离子体区域215中,或以其他方式与气体入口组件205耦接,以影响流体通过气体入口组件205流入所述区域中。在一些实施例中,可利用额外的等离子体源(包括在腔室周围延伸或与腔室流体连通的感应耦合等离子体源),还有额外的等离子体产生系统。
离子抑制器223可包含在整个结构中界定多个孔的板或其他几何结构,所述多个孔经配置以抑制带离子电荷物种迁移离开第一等离子体区域215,同时允许不带电的中性物种或自由基物种通过离子抑制器223进入位于抑制器与喷头之间的经活化气体输送区域。在实施例中,离子抑制器223可包含有各种孔洞配置的穿孔板。这些不带电的物种可包括高反应性物种,高反应性物种与较低反应性载气一起通过孔来传输。如上所记述,可减少,并且在一些情况下完全抑制离子物种通过孔的迁移。控制通过离子抑制器223的离子物种的量可有利地增加对与下方晶片基板接触的气体混合物的控制,这进而可增加对气体混合物的沉积和/或蚀刻特性的控制。举例而言,调整气体混合物的离子浓度可显著改变其蚀刻选择性,例如,SiNx:SiOx蚀刻比、Si:SiOx蚀刻比等。在其中执行沉积的替代实施例中,其还可偏移介电材料的共形对可流动式沉积(conformal-to-flowable style deposition)的平衡。
离子抑制器223中的多个孔洞可以经配置以控制经活化气体(即,离子物种、自由基物种和/或中性物种)通过离子抑制器223。举例而言,可以控制孔的深宽比或孔的直径对长度和/或孔的几何形状,从而减少通过离子抑制器223的经活化气体中的离子化带电荷物种的流动。离子抑制器223中的孔可包括面向等离子体激发区域215的锥形部分和面向喷头225的圆柱形部分。可设计圆柱形部分的形状和尺寸,以控制离子物种通过喷头225的流动。也可对离子抑制器223施加可调整的电偏压,作为控制离子物种通过抑制器的流动的额外手段。
离子抑制器223可起作用以减少或消除从等离子体产生区域行进至基板的带离子电荷物种(ionically charged species)的量。不带电的中性物种和自由基物种仍可通过离子抑制器中的开口而与基板进行反应。应注意的是,在实施例中,可以不完全消除围绕基板的反应区域中的带离子电荷物种。在某些实例中,旨在使离子物种到达基板,以便执行蚀刻和/或沉积工艺。在这些实例中,离子抑制器可有助于将反应区域中的离子物种的浓度控制在有助于工艺的水平。
喷头225与离子抑制器223结合可允许存在于第一等离子体区域215中的等离子体避免直接激发基板处理区域233中的气体,同时仍允许经激发的物种从腔室等离子体区域215行进至基板处理区域233中。以这种方式,腔室可经配置以防止等离子体接触被蚀刻的基板255。这可以有利地保护在基板上图案化的各种复杂结构和膜,若直接接触所产生的等离子体,所述各种复杂结构和膜可能会被损坏、错位或者翘曲。此外,当允许等离子体接触基板或接近基板水平时,氧化物物种蚀刻的速率可能增加。因此,若材料的暴露区域为氧化物,则可通过使等离子体维持远离基板而进一步保护材料。
处理系统可进一步包括:功率供应器240,功率供应器240与处理腔室电耦接,以供应电功率至面板217、离子抑制器223、喷头225和/或基座265,以在第一等离子体区域215或处理区域233中产生等离子体。功率供应器可经配置以根据所执行的工艺来输送可调节量的功率。这种配置可允许在所执行的工艺中使用可调节的等离子体。不同于通常具有开启或关闭功能的远程等离子体单元,可调节的等离子体可经配置以向等离子体区域215输送特定量的功率。这进而可允许发展特定的等离子体特性,使得前驱物可以以特定方式解离,以增强由这些前驱物产生的蚀刻轮廓。
可在喷头225上方的腔室等离子体区域215或喷头225下方的基板处理区域233中点燃等离子体。等离子体可存在于腔室等离子体区域215中,以从例如含氟前驱物或其他前驱物的流入产生自由基前驱物。可将通常在射频(RF)范围内的AC电压施加于处理腔室的导电顶部部分(诸如面板217)与喷头225和/或离子抑制器223之间,以于沉积期间在腔室等离子体区域215中点燃等离子体。RF功率供应器可产生13.56MHz的高RF频率,但也可产生单独的其他频率或与13.56MHz频率相结合的其他频率。
图2B示出影响经过面板217的处理气体分布的特征的详细视图253。如图2A和图2B所示,面板217、冷却板203和气体入口组件205相交,以界定气体供应区域258,可从气体入口205将工艺气体输送进入气体供应区域258中。气体可填充气体供应区域258并经过面板217中的孔259流至第一等离子体区域215。可将孔259配置为以基本单向的方式来引导流动,使得工艺气体可流入处理区域233中,但可以在穿过面板217后部分地或完全地防止其回流到气体供应区域258中。
用于处理腔室区块200中的气体分布组件(诸如喷头225)可称为双通道喷头(dualchannel showerhead;DCSH),并且在图3中描述的实施例中另外详述。双通道喷头可为蚀刻工艺提供允许蚀刻剂在处理区域233外的分离,以在被输送到处理区域前提供与腔室部件和彼此之间的有限交互作用。
喷头225可包含上方板214和下方板216。所述板可以彼此耦接以在所述板之间界定容积218。板的耦接使其可提供通过上方板和下方板的第一流体通道219、以及通过下方板216的第二流体通道221。所形成的通道可经配置为仅经由第二流体通道221提供从容积218经过下方板216的流体通路,并且第一流体通道219可与板和第二流体通道221之间的容积218流体隔离。可经过气体分布组件225的侧面流体地进出容积218。
图3是根据实施例的与处理腔室一起使用的喷头325的仰视图。喷头325可与图2A中所示的喷头225相对应。为了控制并影响前驱物经过喷头225的流动,示出可第一流体通道219的景象的通孔365可具有多个形状和配置。示出了第二流体通道221的景象的小孔375可以基本上均匀地分布于喷头的表面之上,甚至在通孔365间,并且可以有助于在前驱物离开喷头时提供相较于其他配置更均匀混合的前驱物。
前文讨论的腔室可用于执行示例性方法,包括蚀刻方法。转到图4,图4示出根据本技术的实施例的方法400中的示例性操作。在所述方法的第一个操作之前,可在将基板放置于可执行方法400的腔室的处理区域内之前,以一种或多种方式来处理基板。举例而言,可在基板上形成IPD层,并且接着可形成穿过堆叠层的一个或多个存储器孔或沟槽。IPD层可包括任何数量的材料,并且可包括占位材料(placeholder material)和介电材料的交替层。在实施例中,介电材料可为或包括氧化硅,并且占位材料可为氮化硅或可包括氮化硅。尽管剩余的公开内容将讨论氮化硅和氧化硅,但是在这两个层中使用的任何其他已知材料可取代所述层中的一个或多个。可在如前文所描述的腔室或系统中执行这些操作中的一些或全部,或可在相同系统工具上的不同腔室中执行这些操作中的一些或全部,所述系统工具可包括在其中执行方法400的操作的腔室。
方法400可包括:在操作405,将含氟前驱物流入半导体处理腔室的远程等离子体区域中。示例性腔室可为前文所描述的腔室200,其可包括RPS单元201或第一等离子体区域215中的一者或两者。这些区域中的任一者或两者可为操作405中使用的远程等离子体区域。在操作410,可在远程等离子体区域内产生等离子体,这可产生含氟前驱物的等离子体流出物。在操作415,等离子体流出物可流至腔室的处理区域。在一些实施例中,在可选的操作420,等离子体流出物可在处理区域中与基板交互作用,并可钝化或保护结构的一些部分。举例而言,在一些实施例中,等离子体流出物可钝化氧化物材料。如所记述,基板可包括其上已形成多个材料层(诸如氧化硅和氮化硅的交替层)的硅或含硅基板或晶片。可穿过堆叠层形成延伸至基板水平的存储器孔或沟槽,这可在孔或沟槽的底部处提供基板的暴露部分。以此方式,在孔结构内,可有氮化硅、氧化硅和硅或一些含硅材料的暴露区域。
孔或沟槽的形成可发生在不同的腔室中,或者发生在某个先前的操作步骤。若在与方法400相同的腔室内执行,则基板的表面的暴露部分可能相对干净或整洁。然而,若在不同腔室或在不同环境中执行所述工艺,则可能会通过孔或沟槽在基板的暴露部分之上形成原生氧化物。原生氧化物可能不同于在存储器结构的交替层中形成的氧化物。举例而言,尽管可用来划分存储器单元的氧化硅层可为相对较高品质的氧化物,但原生氧化物可为相对较低品质的氧化物,并且相较于氧化硅层可为相对多孔的。
去除氮化硅的蚀刻工艺对氧化硅可具有相对较高的选择性,诸如大于或约100:1或更高的选择性。然而,在一些结构中,待去除的氮化硅的量可为数纳米到几分之一微米或更多。举例而言,在一些实施例中,待凹入的氮化硅的量可为几十纳米至几百纳米。这样的待蚀刻材料量可在相对较长的蚀刻时间段内发生。可部分地基于氧化物对蚀刻剂的抗性来操作氮化物去除工艺对氧化物的选择性,所述蚀刻剂可包括数种含氟材料。氟最终也可能渗入氧化硅材料的部分,从而产生挥发性材料,挥发性材料也将去除氧化硅材料。然而,此工艺通常包括培养期(incubation period),氟与氧化物材料在培养期中缓慢地交互作用。取决于氧化物的品质、氟的能量和其他处理条件,培养可发生达2分钟或更久,诸如长达5分钟、长达10分钟或更久。因此,通过形成氧化硅的钝化,可以以有限的方式影响氧化物材料,而所述工艺可在操作425侧向地或各向同性地蚀刻氮化硅。
自由基氟流出物可接触半导体结构并渗透所形成的沟槽。氧化硅的暴露表面可不受氟等离子体流出物影响,或可最小程度受氟等离子体流出物影响,而氮化硅可在氧化硅的区块间被侧向蚀刻。此外,如将在下文解释的,在一些实施例中,通过利用特定的含氟前驱物还有添加前驱物,可在氧化硅的暴露表面之上形成钝化层,并且可在材料之上形成聚合的保护层。
此损坏或交互作用的程度可能与用于形成含氟等离子体流出物的等离子体的功率以及所形成的流出物行进的距离有关。举例而言,通过利用远程等离子体,可使用相对较低的等离子体功率(诸如低于5kW、低于或约3kW、低于或约1kW、低于或约500W或更低),这可能会限制等离子体流出物的能量,还限制前驱物材料的完全解离。此外,通过形成远程等离子体(其可包括如上文所解说的在输送至基板前的离子过滤),离子等离子体流出物与氮化硅结构交互作用的程度可受到限制。举例而言,局部等离子体可在晶片水平处保留足够的能量,以通过轰击工艺至少损坏包含在堆叠中的氧化硅或氮化硅的上层。此外,离子流出物通常具有方向性,这可能有利于垂直于流出物输送方向的表面的异向性蚀刻,但可能不促进侧向蚀刻。本技术利用等离子体中产生的中性物种或自由基物种来产生各向同性蚀刻剂,其可侧向蚀刻氮化硅。随着总流量减少和/或压力增加,如下文所述,可在等离子体持续的同时进一步降低等离子体功率,诸如低于或约400W、低于或约300W、低于或约200W、低于或约100W或更低。这可进一步限制氟解离和添加前驱物解离,这可改进氮化物的选择性蚀刻(这可更容易发生)同时氧化物蚀刻可在初始期或饱和后发生。此外,添加前驱物可具有减少的解离,这在一些实施例中可促进钝化层的发展。
在一些实施例中,蚀刻工艺可持续达第一时间段。在第一时间段后,可随着等离子体的形成而停止含氟前驱物的流动。然后可在可选的操作430执行净化,这可从腔室去除残留的蚀刻剂材料、蚀刻副产物或其他材料。可使用任何数量的可为化学惰性的材料(诸如氮或稀有气体)来执行净化,这些材料可用于净化腔室的处理区域。净化工艺可通过加速副产物还有较不利的等离子体流出物的去除,来改进蚀刻选择性,并且减少这些材料在处理区域内的留驻时间。举例而言,这可促进氮化硅的侧向蚀刻,同时减少对氧化硅的暴露和冲击。
第一时间段可足以产生蚀刻,同时限制可能开始影响氧化物表面的留驻时间。举例而言,在一些实施例中,第一时间段可为大于或约5秒,并且可为大于或约10秒、大于或约15秒、大于或约20秒、大于或约25秒、大于或约30秒、大于或约35秒、大于或约40秒、大于或约45秒、大于或约50秒、大于或约55秒、大于或约60秒、大于或约2分钟、大于或约3分钟、大于或约4分钟、大于或约5分钟或更长。然而,为了限制额外效应,在一些实施例中,第一时间段可为小于或约5分钟、小于或约4分钟、小于或约3分钟、小于或约2分钟或更短。
如将于下文描述,本技术中使用的前驱物可包括含氟前驱物还有额外前驱物。示例性含氟前驱物可为三氟化氮(NF3),可将三氟化氮(NF3)流入远程等离子体区域中,远程等离子体区域可与处理区域分隔但与处理区域流体耦接。其他氟源可与三氟化氮结合使用或作为三氟化氮的替代。通常,含氟前驱物可流入远程等离子体区域中,并且含氟前驱物可包括选自由以下者组成的群组中的至少一种前驱物:原子氟、双原子氟、三氟化氮、四氟化碳、氟化氢、二氟化氙、以及用于半导体处理中或于半导体处理中有用的各种其他含氟前驱物。
在一些实施例中,含氟前驱物的特征可在于含氟前驱物的分子中的增加的氟含量。举例而言,在一些实施例中,含氟前驱物的特征可为分子式XFy。X可以是任何数量的材料或周期性元素,并且y可为大于或约1、大于或约2、大于或约3、大于或约4、大于或约5、大于或约6或更大的数目。在一些实施例中,可用额外的卤素元素来取代氟。化学式的标记可能只是为了表示比率,并且可不限制前驱物。举例而言,所列化学式可涵盖X2F8,其中y为4。所述化学式涵盖的其他示例也将很容易理解。元素X可为可与氟或其他卤化物形成化合物的各种元素中的任何一种。
举例而言,非限制性示例可以包括可与卤化物键结的任何其他非金属(诸如硫或磷),还有可与卤素元素化学键结的任何其他贫金属(poor metal)、过渡金属或其他元素。作为非限制性实例,含氟前驱物可包括五氟化磷、六氟化硫和其他含氟材料或含卤素材料。这些材料可能会产生大量可能增加蚀刻的等离子体流出物材料。举例而言,使用六氟化硫,可以产生各种元素,包括S、F、SF、SF2、SF3、SF4、SF5、F2、S2F8,还有数种其他自由基和中性物种,并且有助于蚀刻。
尽管可使用任何数量的含卤素前驱物(如含氟前驱物),但一些材料(诸如磷和硫)可改进优于其他材料(诸如三氟化氮)的选择性,例如,由于氧化硅材料可提供的额外影响。举例而言,硫化合物和磷化合物可以在氧化硅的暴露表面上产生一类钝化或保护材料。举例而言,硫和磷是足够大的元素,可以发生一定量的聚合以在氧化物的表面之上产生一类桥接聚合物(bridge polymer)。硫可与氧表面键结,并变为结合于膜内,同时保留一个或多个氟原子,这可以保护表面免受额外的氟化和与氧表面产生的反应。这可以允许蚀刻氮化物结构,同时保持或限制对氧化物层的任何影响,因为在氮化物上可能没有推论形成。
在一些实施例中,添加前驱物可与含硅前驱物一起流动。添加前驱物可为或可包括含卤素前驱物,所述含卤素前驱物包括不同于氟的卤素。举例而言,添加前驱物可包括前驱物,所述前驱物包括VII族元素,或卤素,伴随任何III族、IV族、V族或VI族元素(且以任何组合)。示例性材料的特征可在于分子式XaYb,其中X包括任何III族、IV族、V族或VI族元素,Y包括氯、溴或碘,a为1、2或3,并且b为3、4、5、6、7、8或9。添加前驱物配方也可涵盖以化学式R1R2R3XY为特征的前驱物,其中X可为任何IV族元素,Y可为氯、溴或碘,并且R1至R3可为以下项的任何组合:H、甲基、乙基或其他碳氢化合物、额外的卤素或者额外的IV族元素,其与任何其他提到的延伸链的材料键结。举例而言,但不限于以上化学式涵盖的前驱物,示例性前驱物可包括以任意组合的硅和氯化物,诸如四氯化碳和/或六氯化二硅,并且类似地,前驱物可包括碳和氯化物、锗和氯化物、硅和氟、碳和氟、锗和氟、硅和溴、碳和溴、锗和溴、硅和碘、碳和碘、锗和碘、硒和氟、溴、氯或碘、碲和氟、溴、氯或碘、磷和氟、溴、氯或碘、以及砷和氟、溴、氯或碘。此外,添加前驱物的特征可在于一个或多个甲基团,诸如三甲基硅烷。
添加前驱物可作为如上所述的钝化前驱物来操作。举例而言,尽管含氟前驱物可在充分暴露或没有充分钝化后蚀刻材料(包括氮化硅还有氧化硅),但添加前驱物可执行与上述相同的钝化操作,而不蚀刻结构。因为其他含卤素前驱物可执行与上述氟前驱物类似的功能,因此可执行相同操作,同时通过限制额外的氟加入来进一步控制蚀刻操作。尽管由上述化学式涵盖的任何前驱物可用作添加前驱物,但在一些实施例中,可使用以硅-硅、碳-碳、锗-锗或类似的原子键结结构为特征的前驱物,因为低功率等离子体可能更容易破坏前驱物的辅助部分(ancillary moieties)上的此键结。添加前驱物还可促进经蚀刻表面的修复。举例而言,添加前驱物可包括如上所述的硅。一旦经等离子体强化,若被蚀刻的话,含硅前驱物可将硅提供回氧化硅。当从处理环境移出结构时,添加的硅可能被氧化,其中大气内的水可与硅反应,以恢复被氧化的表面。因此,所述工艺可限制、防止或再生氧化硅,这可在蚀刻工艺期间维持氧化硅层。在其中添加前驱物包括氟的一些实施例中,添加前驱物可取代含氟前驱物。
在本技术的一些实施例中,额外前驱物可与含氟前驱物一起输送。举例而言,可输送含氢前驱物,或可输送一种或多种其他前驱物,诸如含氩前驱物、含氮前驱物、含氦前驱物和含氧前驱物、或其他前驱物。氢和氩相对于氦可容易地离子化,这在一些实施例中可促进处理。含氢前驱物可为或可包括氢、碳氢化合物或任何含氢前驱物。示例含氧前驱物可为或可包括水蒸气、过氧化氢、氧气、臭氧、一氧化二氮、一氧化氮或经赋能的含氧材料,尽管如先前解释,在一些实施例中,含氧前驱物可不经等离子体增强,以限制穿过待后续蚀刻的沟槽与氮化硅材料的交互作用。本技术可额外地蚀刻硅,并且提供某一量的含氧前驱物可促进蚀刻。
不受任何特定理论的束缚,提供诸如或包括氢或氩之类的材料还有其他前驱物可通过向工艺提供额外的电子来促进蚀刻工艺。虽然氟可为等离子体中的电子的拟清除剂(pseudo scavenger),但额外前驱物可贡献额外的电子,这可能会增加等离子体内的电子密度,改进蚀刻工艺和对氮化物的选择性。因此,在一些实施例中,可维持含氟前驱物对额外前驱物的流速比。举例而言,添加前驱物和/或额外前驱物(诸如氢或氩)的流速比可相对于含氟前驱物维持在至少约1:2,并且可维持在大于或约1:1、大于或约1.5:1、大于或约2.0:1、大于或约2.5:1、大于或约3.0:1、大于或约3.5:1、大于或约4.0:1或更高。然而,可维持流速比以限制稀释,一旦足够高可能会抑制额外的蚀刻,并且因此在一些实施例中,可将额外前驱物对含氟前驱物的流速比维持在小于或约10.0:1、小于或约9.0:1、小于或约8.0:1、小于或约7.0:1、小于或约6.0:1、小于或约5.0:1、小于或约4.0:1、小于或约3.0:1、小于或约2.0:1、小于或约1.0:1或更低。举例而言,可包括额外前驱物以促进等离子体形成,如可提供电子的氩。然而,当添加前驱物的特征在于比氩更低的离子化能时,可从等离子体前驱物消除氩,这可提高选择性。
工艺条件也可能影响方法400中所执行的操作。在实施例中,可在恒定温度期间执行方法400的操作中的每个,而在一些实施例中,可在不同的操作期间调节温度。可将温度维持在任何范围中,然而,在较高的温度下,可能会发生含氟材料的进一步解离,这可能产生更多的氟自由基。随着氟自由基的量增加,氧化物可能更容易开始蚀刻,并且选择性可能降低。因此,在一些实施例中,可将温度维持在低于或约700℃,并且可将温度维持在低于或约650℃、低于或约600℃、低于或约550℃、低于或约500℃或更低。在一些实施例中,氮化物或硅蚀刻期间的基板、基座或腔室温度可维持在小于或约400℃的温度,并且在一些实施例中,可将温度维持在小于或约350℃、小于或约300℃、小于或约250℃、小于或约200℃、小于或约150℃、小于或约100℃、小于或约50℃、小于或约25℃、小于或约10℃、小于或约0℃、小于或约-10℃、小于或约-20℃、小于或约-30℃或更低。
随着工艺温度降低,可强化前驱物的选择性,以减少或限制游离氢。举例而言,尽管甲基团仍可有益地钝化暴露的氧化物表面,但随着温度降低至低于或约20℃,游离氢可能会产生氨或氟亚酰胺(fluorimide),这可能会通过产生氟硅酸铵(ammoniumfluorosilicate)作为副产物来蚀刻氧化物。因此,在一些实施例中,可将氢浓度对前驱物的任何其他元素限制在小于1:1,并且基于等离子体功率,可限制在甲基团,所述甲基团可在氮化物蚀刻期间对暴露的氧化物表面执行钝化。
在一些实施例中,可在各种压力下进行工艺,这可在任何数量的处理腔室中促进操作。举例而言,可在能提供(诸如以涡轮分子泵(turbomolecular pump))低于或约10毫托或更低的压力的腔室内执行工艺。此外,可将腔室内的压力维持在较高压力,这可增加相关联的蚀刻速度,并且可将处理腔室内的压力维持在大于或约1托,并且可维持在大于或约2托、大于或约5托、大于或约10托、大于或约50托、大于或约100托、大于或约200托或更高。
前驱物和总流速也可有助于改进的氮化硅蚀刻。举例而言,可在以下流速下输送或维持氩、氦、氮或其他等离子体稳定前驱物:小于或约100sccm,并且可维持在小于或约90sccm、小于或约80sccm、小于或约70sccm、小于或约60sccm、小于或约50sccm、小于或约40sccm、小于或约30sccm、小于或约20sccm、小于或约10sccm或更小。通过降低等离子体稳定前驱物的流量,可减少解离,可使用较低的等离子体功率来产生等离子体,并且可控制蚀刻以增加氮化物蚀刻,这相对于氧化物蚀刻可能更容易发生。可将添加前驱物、第一含卤素前驱物、第二含卤素前驱物的流量或总前驱物流量维持在低于或约为任何记载的流速,这可进一步控制解离并且增进氮化物蚀刻相对于氧化物蚀刻的选择性。
通过执行一定量的蚀刻,接着执行一定量的净化,可执行对氮化硅的受控的侧向或各向同性的蚀刻。为了进一步促进蚀刻,可在数个循环中执行本技术,以更新氧化硅,允许去除蚀刻副产物,并促进蚀刻剂输送至氮化硅的侧向凹槽中。在一些实施例中,取决于诸如要执行的氮化硅蚀刻的程度或工艺的其他影响之类的因素,可以在大于或约2、大于或约3、大于或约4、大于或约5、大于或约10个循环、大于或约20个循环、大于或约50个循环、大于或约100个循环、大于或约200个循环或更多循环中执行工艺(包括可选的净化)。
执行额外循环的益处可包括:当氢与蚀刻剂前驱物结合时,氢等离子体流出物可有益地与堆叠的氧化硅层交互作用,以提取可在各个循环期间与层交互作用的氟。如前文所论述,在氟可开始与氧化物结构交互作用并延伸进入氧化物结构内的培养期后,氧化硅最终可与用于去除氮化硅的工艺发生反应。然而,尽管氢流出物可能不与氧化硅本身反应,或可仅最小程度地交互作用,但是流出物能量可能足以抽出已开始与氧化硅交互作用的氟,并且当等离子体流出物接触氧化硅层的暴露表面时可从层去除氟。通过执行如上文所述的净化,可将被去除的氟和经反应的氢从腔室排出。这可以至少在一定程度上更新培养期,并可通过在各个循环中从氧化硅去除残留蚀刻剂来增加氮化硅蚀刻工艺相对于氧化硅的整体选择性。通过执行上文所述的工艺,可将氮化硅相对于氧化硅的蚀刻选择性维持在大于或约10:1,并且可产生大于或约15:1、大于或约20:1、大于或约30:1、大于或约50:1、大于或约70:1、大于或约100:1或更高的选择性。
转到图5A至图5C,其示出了根据本技术的一些实施例来处理的结构500的剖面视图。如图5A所示出,基板505可具有覆盖基板的多个堆叠层,基板可为硅、硅锗或其他基板材料。层可包括IPD层,IPD层包括介电材料510,介电材料510可为氧化硅,其与占位材料520(其可为氮化硅)成为交替层。占位材料520可为或可包括将在后续操作中被去除以产生个别存储器单元的材料。尽管仅示出7个材料层,但是示例性结构可包括前文论述的任何层数,并且应理解到,附图仅是用于示出本技术的方面的示意图。可穿过堆叠的结构至基板505的水平来界定可为存储器孔的沟槽530。可由侧壁532(其可由介电材料510和占位材料520的交替层限定)来界定沟槽530。
在图5B中示出已开始执行根据本技术的方法(诸如参照图4于上文讨论的)后的结构。可形成含氟前驱物(其可包括额外前驱物)的远程等离子体,以产生等离子体流出物。可将等离子体流出物输送至基板处理区域,流出物可在基板处理区域中与基板和暴露的材料交互作用。如上文所述,在蚀刻氮化硅或占位材料520时,根据本技术的实施例的一些前驱物的等离子体流出物可钝化氧化硅或在暴露的区域上产生保护层540。
图5C示出已进行根据本技术的进一步方法或操作(诸如参照图4于上文讨论的)后的结构。举例而言,随着蚀刻工艺持续,额外的钝化或保护材料540可延伸到介电材料510的进一步暴露表面之上,这可在氮化硅在工艺的循环期间继续被凹陷时继续保护材料免受垂直蚀刻。通过利用如贯穿本技术所论述的前驱物和处理,可从氧化硅的区块间各向同性地或侧向地蚀刻氮化硅,同时限制氧化硅的损坏或者去除。
在前文描述中,出于解说的目的,已经阐述了诸多细节以便提供对本技术的各种实施例的理解。然而,对于本领域技术人员来说将显而易见的是,可在没有这些细节中的一些或在有额外细节的情况下实践某些实施例。
在已公开若干实施例之后,本领域技术人员将认识到,在不偏离实施例的精神的情况下可使用各种修改、替代构造和等效物。另外,为了避免不必要地混淆本技术,未描述若干已熟知的工艺和元件。因此,上文描述不应视为限制本技术的范围。另外,方法或工艺可以被描述为依序或成步骤进行,但是应当理解,操作可同时执行,或以与所列出的顺序不同的顺序执行。
在提供一范围的值的情况下,除非本文另有明确指定,应理解还特定地公开了此范围的上限与下限之间的每一中间值,精确度为至下限单位的最小分位。将涵盖在陈述范围中的任一陈述值或未陈述的中间值与在此陈述范围中的任一其他陈述值或中间值之间的任何较窄范围。那些较小范围的上限和下限可独立地包括于所述范围中或排除于所述范围之外,并且在界限中任一者、没有任一界限或两界限皆包括于所述较小范围中的每一范围还被涵盖于本技术内,所述每一范围受所陈述范围中的任何特定排除的界限管辖。在所陈述范围包括所述界限中的一者或两者的情况下,还包括排除那些包括的界限中的一者或两者的范围。
如本文和所附权利要求中所使用,除非本文另有明确指定,否则单数形式“一(a)”、“一(an)”和“所述(the)”包括复数引用。因此,例如,引用“一前驱物”包括多个此类前驱物,并且引用“所述层”包括引用一个或多个层以及本领域技术人员所知的等效物,等等。
又,当在本说明书中和所附权利要求中所使用的词语“包含(comprise)”、“包含(comprising)”、“含有(contain)”、“包括(include)”以及“包括(including)”时,意欲指定所陈述的特征、整数、部件或操作的存在,但是所述词语不排除一个或多个其他特征、整数、部件、操作、动作或群组的存在或添加。

Claims (20)

1.一种蚀刻含硅材料的方法,所述方法包含:
将含氟前驱物流入半导体处理腔室的远程等离子体区域中;
在所述远程等离子体区域内形成等离子体,以产生所述含氟前驱物的等离子体流出物;
将所述等离子体流出物流入所述半导体处理腔室的处理区域中,其中基板定位于所述处理区域内,并且其中所述基板包含穿过堆叠层而形成的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层;以及
在实质上维持所述氧化硅的同时,各向同性地蚀刻氮化硅的所述层。
2.如权利要求1所述的蚀刻含硅材料的方法,进一步包含:
在第一时间段后停止所述含氟前驱物的流动;以及
用净化前驱物净化所述处理区域。
3.如权利要求2所述的蚀刻含硅材料的方法,其中所述净化前驱物包含氮。
4.如权利要求1所述的蚀刻含硅材料的方法,进一步包含:使添加前驱物伴随所述含氟前驱物流动,其中所述添加前驱物包含除了氟以外的卤素。
5.如权利要求1所述的处理含硅基板的方法,其中氮化硅与氧化硅之间的蚀刻选择性大于或约为20:1。
6.如权利要求1所述的蚀刻含硅材料的方法,其中所述含氟前驱物包含:硫、磷、砷、硅、碳、硒或碲。
7.如权利要求1所述的蚀刻含硅材料的方法,其中在约10毫托与约5托之间的腔室操作压力下执行所述方法。
8.如权利要求1所述的蚀刻含硅材料的方法,其中在小于或约为20℃的腔室温度下执行所述方法。
9.如权利要求1所述的蚀刻含硅材料的方法,进一步包含:使氩、氦或氮伴随所述含氟前驱物流动。
10.如权利要求9所述的蚀刻含硅材料的方法,其中所述氩、氦或氮对所述含氟前驱物的流速比小于或约为2:1。
11.如权利要求1所述的蚀刻含硅材料的方法,进一步包含:使含氢前驱物伴随所述含氟前驱物流动。
12.如权利要求1所述的处理含硅基板的方法,进一步包含:将钝化层形成在所述氧化硅之上。
13.一种蚀刻含硅材料的方法,所述方法包含:
将第一含卤素前驱物和第二含卤素前驱物流入半导体处理腔室的远程等离子体区域中,其中所述第一含卤素前驱物包含氟,并且其中所述第二含卤素前驱物包含氯、溴或碘中的一者;
在所述远程等离子体区域内形成等离子体,以产生所述第一含卤素前驱物和所述第二含卤素前驱物的等离子体流出物;
将所述等离子体流出物流入所述半导体处理腔室的处理区域中,其中基板定位于所述处理区域内,并且其中所述基板包含穿过堆叠层而形成的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层;
侧向地蚀刻所述氮化硅层;
在第一时间段后停止所述含卤素前驱物的流动;以及
用净化前驱物净化所述处理区域。
14.如权利要求13所述的蚀刻含硅材料的方法,进一步包含:将钝化层形成在所述氧化硅的暴露表面之上,其中所述钝化层包含材料的聚合层,所述材料包含所述第二含卤素前驱物的元素。
15.如权利要求13所述的蚀刻含硅材料的方法,其中所述第一含卤素前驱物包含硫和氟。
16.如权利要求13所述的蚀刻含硅材料的方法,进一步包含:重复所述方法达至少10个循环。
17.如权利要求13所述的蚀刻含硅材料的方法,其中所述第一时间段大于或约为30秒。
18.如权利要求13所述的蚀刻含硅材料的方法,进一步包含:使氩或氮伴随所述含卤素前驱物流动。
19.如权利要求18所述的蚀刻含硅材料的方法,其中所述氩或氮对所述含卤素前驱物的流速比小于或约为2:1。
20.一种蚀刻含硅材料的方法,所述方法包含:
将含氟前驱物流入半导体处理腔室的远程等离子体区域中;
将含卤素前驱物流入半导体处理腔室的远程等离子体区域中,其中所述含卤素前驱物包含氯、溴或碘;
在所述远程等离子体区域内形成等离子体,以产生所述含氟前驱物和所述含卤素前驱物的等离子体流出物;
将所述等离子体流出物流入所述半导体处理腔室的处理区域中,其中基板定位于所述处理区域内,并且其中所述基板界定穿过堆叠层的沟槽,所述堆叠层包括氮化硅和氧化硅的交替层;
各向同性地蚀刻所述氮化硅层;
在第一时间段后停止所述含氟前驱物和所述含卤素前驱物的流动;以及
用净化前驱物净化所述处理区域。
CN202280003817.7A 2021-03-12 2022-03-03 各向同性氮化硅移除 Pending CN116097407A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163160287P 2021-03-12 2021-03-12
US63/160,287 2021-03-12
PCT/US2022/018645 WO2022192063A1 (en) 2021-03-12 2022-03-03 Isotropic silicon nitride removal

Publications (1)

Publication Number Publication Date
CN116097407A true CN116097407A (zh) 2023-05-09

Family

ID=83195177

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280003817.7A Pending CN116097407A (zh) 2021-03-12 2022-03-03 各向同性氮化硅移除

Country Status (5)

Country Link
US (1) US20220293430A1 (zh)
JP (1) JP2023530554A (zh)
KR (1) KR20220157476A (zh)
CN (1) CN116097407A (zh)
WO (1) WO2022192063A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240120210A1 (en) * 2022-10-11 2024-04-11 Applied Materials, Inc. Isotropic silicon nitride removal

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
WO2020172208A1 (en) * 2019-02-20 2020-08-27 Tokyo Electron Limited Method for selective etching at an interface between materials
US11348803B2 (en) * 2019-05-20 2022-05-31 Applied Materials, Inc. Formation of bottom isolation

Also Published As

Publication number Publication date
TW202301464A (zh) 2023-01-01
US20220293430A1 (en) 2022-09-15
JP2023530554A (ja) 2023-07-19
WO2022192063A1 (en) 2022-09-15
KR20220157476A (ko) 2022-11-29

Similar Documents

Publication Publication Date Title
CN110235228B (zh) 用于高深宽比结构的移除方法
US10566206B2 (en) Systems and methods for anisotropic material breakthrough
US10861676B2 (en) Metal recess for semiconductor structures
WO2018067881A1 (en) Selective sin lateral recess
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
US10283324B1 (en) Oxygen treatment for nitride etching
US20210111033A1 (en) Isotropic silicon nitride removal
CN116097407A (zh) 各向同性氮化硅移除
TWI817471B (zh) 高深寬比特徵中的金屬蝕刻
US20220351979A1 (en) Systems and methods for selective metal compound removal
US10128086B1 (en) Silicon pretreatment for nitride removal
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
US20240120210A1 (en) Isotropic silicon nitride removal
TWI836370B (zh) 等向性氮化矽移除
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻
CN117597769A (zh) 过渡金属氮化物材料的选择性移除

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination