TWI836370B - 等向性氮化矽移除 - Google Patents

等向性氮化矽移除 Download PDF

Info

Publication number
TWI836370B
TWI836370B TW111108997A TW111108997A TWI836370B TW I836370 B TWI836370 B TW I836370B TW 111108997 A TW111108997 A TW 111108997A TW 111108997 A TW111108997 A TW 111108997A TW I836370 B TWI836370 B TW I836370B
Authority
TW
Taiwan
Prior art keywords
etching
silicon
precursor
fluorine
containing precursor
Prior art date
Application number
TW111108997A
Other languages
English (en)
Other versions
TW202301464A (zh
Inventor
米克海爾 柯羅立克
保羅E 吉
巴斯卡爾喬帝 布洋
約翰 蘇迪喬諾
瑋盈 楊
家偉 洪
薩摩 簡
Original Assignee
美商應用材料股份有限公司
新加坡國立大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, 新加坡國立大學 filed Critical 美商應用材料股份有限公司
Publication of TW202301464A publication Critical patent/TW202301464A/zh
Application granted granted Critical
Publication of TWI836370B publication Critical patent/TWI836370B/zh

Links

Images

Abstract

蝕刻含矽材料之示例方法可包括以下步驟:將含氟前驅物流入半導體製程腔室的遠端電漿區域。所述方法可包括以下步驟:在遠端電漿區域內形成電漿,以產生含氟前驅物的電漿流出物。所述方法可包括以下步驟:將電漿流出物流入半導體製程腔室的處理區域內。基板可安置於處理區域內。基板可包括穿過多個堆疊層而形成之溝槽,所述堆疊層包括氮化矽及氧化矽之多個交替層。所述方法可包括以下步驟:在實質上維持氧化矽的同時,等向地蝕刻氮化矽的層。

Description

等向性氮化矽移除
此申請案依專利法主張2021年3月12日提申且名稱為「ISOTROPIC SILICON NITRIDE REMOVAL」之美國臨時專利申請案第63/160,287號之優先權,出於所有目的,該美國臨時專利申請案之全文以引用方式併入本文。
本技術涉及半導體製程及設備。更具體而言,本技術涉及相對於其他材料等向地蝕刻材料。
透過在基板表面上生產錯綜複雜圖案化的材料層之製程,可製作積體電路。在基板上產生經圖案化的材料需要去除暴露的材料之受控方法。化學蝕刻用於各種目的,包括將光阻中之圖案轉移到下方層內、減薄層或減薄表面上已經存在之特徵的側向尺寸。通常期望有蝕刻一種材料比蝕刻另一種材料更快之蝕刻製程,以促進,例如,圖案轉移製程。這樣的蝕刻製程被稱為對第一種材料有選擇性。由於材料、電路和製程的多樣性,已經開發出對多種材料具有選擇性之蝕刻製程。
根據製程中使用的材料,可將蝕刻製程表示為濕式或乾式。相較於其他介電質及材料,濕式HF蝕刻優先去除氧化矽。然而,濕式製程可能難以穿透某些受限的溝槽,且有時候還可能使剩餘材料變形。在基板處理區域內形成之局部電漿中產生之乾式蝕刻可穿透更受限制的溝槽並展現出精細剩餘結構的較小變形。然而,局部電漿在放電時可能會經由產生電弧而損壞基板。
因此,需要可用於產生高品質裝置及結構之改良的系統及方法。本技術可滿足這些及其他需求。
蝕刻含矽材料之範例方法可包括以下步驟:將含氟前驅物流入半導體製程腔室的遠端電漿區域。含氟前驅物的特徵可在於分子式XF y,且y可為大於或等於5。所述方法可包括以下步驟:在遠端電漿區域內形成電漿,以產生含氟前驅物的電漿流出物。所述方法可包括以下步驟:將電漿流出物流入半導體製程腔室的處理區域內。可將基板安置於處理區域內,且基板可包括穿過堆疊層而形成之溝槽,所述堆疊層包括氮化矽和氧化矽之多個交替層。所述方法可包括以下步驟:側向地蝕刻氮化矽層。
在一些實施例中,所述方法可包括以下步驟:在第一時間段後停止含氟前驅物的流動。所述方法可包括以下步驟:以淨化前驅物淨化處理區域。淨化前驅物可為或可包括氮。所述方法可包括以下步驟:使添加前驅物伴隨含氟前驅物流動。添加前驅物可為或可包括除了氟以外之鹵素。氮化矽與氧化矽間之蝕刻選擇性可為大於或約20:1。含氟前驅物可包括:硫、磷、砷、矽、碳、硒或碲。可在約10毫托與約5托間之腔室操作壓力下進行所述方法。可在小於或約20 °C之腔室溫度下進行所述方法。所述方法可包括以下步驟:使氬、氦或氮伴隨含氟前驅物流動。氬、氦或氮對含氟前驅物之流速比可為小於或約2:1。所述方法可包括以下步驟:使含氫前驅物伴隨含氟前驅物流動。所述方法可包括以下步驟:將鈍化層形成於氧化矽上方。
本技術的一些實施例可涵蓋蝕刻含矽材料之方法。所述方法可包括以下步驟:將第一含鹵素前驅物及第二含鹵素前驅物流入半導體製程腔室的遠端電漿區域內。第一含鹵素前驅物可包括氟。第二含鹵素前驅物可包括以下一者:氯、溴或碘。所述方法可包括以下步驟:在遠端電漿區域內形成電漿,以產生第一含鹵素前驅物及第二含鹵素前驅物的電漿流出物。所述方法可包括以下步驟:將電漿流出物流入半導體製程腔室的處理區域內。可將基板安置於處理區域內,且基板可包括穿過堆疊層而形成之溝槽,所述堆疊層包括氮化矽和氧化矽之多個交替層。所述方法可包括以下步驟:側向地蝕刻氮化矽層。所述方法可包括以下步驟:在第一時間段後停止含鹵素前驅物之流動。所述方法可包括以下步驟:以淨化前驅物淨化處理區域。
在一些實施例中,所述方法可包括以下步驟:將鈍化層形成於氧化矽的暴露表面上方。鈍化層可包括材料之聚合層,所述材料包含第二含鹵素前驅物之元素。第一含鹵素前驅物可包括硫及氟。所述方法可包括以下步驟:重複所述方法達至少10個循環。第一時間段可為大於或約30秒。所述方法可包括以下步驟:使氬或氮伴隨含鹵素前驅物流動。氬或氮對含鹵素前驅物之流速比可為小於或約2:1。
本技術的一些實施例可涵蓋蝕刻含矽材料之方法。所述方法可包括以下步驟:將含氟前驅物流入半導體製程腔室的遠端電漿區域。所述方法可包括以下步驟:將含鹵素前驅物流入半導體製程腔室的遠端電漿區域。含鹵素前驅物可包括氯、溴或碘。所述方法可包括以下步驟:在遠端電漿區域內形成電漿,以產生含氟前驅物及含鹵素前驅物的電漿流出物。所述方法可包括以下步驟:將電漿流出物流入半導體製程腔室的處理區域內。可將基板安置於處理區域內,且基板可界定穿過堆疊層而形成之溝槽,所述堆疊層包括氮化矽和氧化矽之多個交替層。所述方法可包括以下步驟:等向地蝕刻氮化矽層。所述方法可包括以下步驟:在第一時間段後停止含氟前驅物及含鹵素前驅物之流動。所述方法可包括以下步驟:以淨化前驅物淨化處理區域。
這樣的技術可相對於習用系統和技術提供許多益處。舉例而言,所述製程可選擇性地等向性地蝕刻半導體結構內之氮化矽。此外,所述製程可在蝕刻製程期間保護暴露的氧化物。結合以下描述和附圖更詳細地描述這些和其他實施例以及它們的諸多優點及特徵。
從2D NAND過渡到3D NAND,許多製程操作從垂直操作修改為水平操作。此外,隨著3D NAND結構的形成單元數量增加,記憶體孔和其他結構的深寬比會增加,有時候甚至顯著增加。在3D NAND處理期間,佔位層和介電材料的堆疊可形成電極間介電層或IPD層。在完全去除材料並用金屬替換之前,可對這些佔位層執行各種操作以放置結構。儘管可在單元結構的一側併入金屬化,但之前可能已經在結構的另一側進行操作,例如形成浮閘(floating gate)或電荷陷阱層。雖然這些層可形成在記憶體孔內,但是在垂直分離的記憶體單元間可能會發生串擾。減少這種通訊的一種方法可包括在形成這些層之前蝕刻佔位材料以允許介電材料進一步將各個單元材料層與相鄰單元分開。
許多習用技術利用濕式蝕刻來接近各個單元佔位材料,以在形成諸如電荷陷阱層之層前對佔位材料進行側向蝕刻。然而,濕式蝕刻可比其他蝕刻技術更強大,且濕式蝕刻可超過必要或期望的程度蝕刻佔位材料。舉例而言,濕式蝕刻可能過度蝕刻某些特徵。此外,由於蝕刻劑的表面張力之故,小的形狀因子(form factor)結構之濕式蝕刻可能導致圖案塌陷或變形。使用濕式蝕刻劑也可能需要後續操作以去除在溝槽或孔內形成的殘留物。也可進行乾式蝕刻技術,然而,許多被利用之乾式蝕刻劑額外地蝕刻矽和氧化矽,降低了製程的選擇性。
本技術藉由進行乾式蝕刻製程克服了這些問題,所述乾式蝕刻製程可在限制氧化物之蝕刻的同時選擇性地側向蝕刻氮化矽。藉由利用特定前驅物組合,可以在蝕刻製程期間保護氧化物的暴露表面。以此方式,保護材料可以允許進行蝕刻操作,該蝕刻操作可能不會去除或只能最小程度地去除下方結構材料。
儘管其餘揭示內容將常規地利用所揭示之技術來標示具體蝕刻製程,但將可容易理解到,所述系統和方法同樣適用於可能發生在所述腔室中之沉積及清潔製程。因此,所述技術不應被視為僅限於與這些蝕刻製程或腔室一起使用之技術。此外,儘管將範例腔室描述為為本技術提供基礎,但應理解,本技術實際上可應用於可允許所描述的單腔室操作的任何半導體處理腔室。
第1圖顯示根據實施例之沉積、蝕刻、烘烤及硬化腔室之處理系統100的一個實施例之頂部平面視圖。在圖式中,一對前開式統一傳送盒102供應各種尺寸的基板,所述基板由機械手臂104接收並放置入低壓保持區106內,接著將所述基板放置在基板製程腔室108a至108f中之一者內,所述基板製程腔室108a至108f安置在串聯區塊109a至109c中。可使用第二機械手臂110將基板晶圓從保持區106運送至基板製程腔室108a至108f並返回。各基板製程腔室108a至108f可經裝配以進行數個基板處理操作,包括本文所述之乾式蝕刻製程,還有循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、蝕刻、預清潔、脫氣、定向及其他基板製程。
基板製程腔室108a至108f可包括用於沉積、退火、硬化及/或蝕刻基板晶圓上之介電膜之一或多個系統部件。在一種配置中,製程腔室之兩對製程腔室,如,108c及108d和108e及108f,可用於將介電材料沉積在基板上,且製程腔室之第三對製程腔室,如,108a及108b,可用於蝕刻所沉積之介電質。在另一種配置中,所有三對腔室,如,108a至108f,可經配置以蝕刻基板上之介電膜。可在不同的實施例中所示之與製造系統分開的一或多個腔室中進行本文所述之製程中之任何一或多者。將可理解到,可思及將系統100用於介電膜之沉積、蝕刻、退火和硬化腔室之其他配置。
第2A圖顯示範例製程腔室系統200的剖面視圖,其中製程腔室內具有分隔的電漿產生區域,且其可經配置以執行如下文進一步描述之製程。在如氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等的膜蝕刻期間,可通過氣體入口組件205將製程氣體流入第一電漿區域215。可視情況在系統中包括遠端電漿系統201,且可處理第一氣體,第一氣體接著行進通過氣體入口組件205。入口組件205可包括兩個或更多個不同的氣體供應通道,其中第二通道可繞過RPS 201,若包括的話。
顯示出冷卻板203、面板217、離子抑制器223、噴灑頭225及具有基板255設置於其上之基板支撐件265,且可根據實施例包括以上各者。基座265可具有熱交換通道,熱交換流體流過熱交換通道以控制基板的溫度,其可被操作以在處理操作期間加熱及/或冷卻基板或晶圓。也可使用嵌入式電阻加熱器元件以電阻式加熱基座265的晶圓支撐盤(其可包含鋁、陶瓷或其組合),以達到相對高溫,如從高達或約100°C至高於或約1100°C。
面板217可為金字塔形、圓錐形或其他類似結構,其中窄的頂部部分擴展到寬的底部部分。如圖所示,面板217可額外為平坦的,且包括複數個貫穿通道用以分配製程氣體。為了更均勻地輸送至第一電漿區域215,取決於RPS 201的使用,可使電漿產生氣體及/或電漿激發物種通過第2B圖所示之面板217中的複數個孔。
範例配置可包括使氣體入口組件205通向由面板217與第一電漿區域215隔開之氣體供應區域258內,使得氣體/物種通過面板217中之孔流入第一電漿區域215內。可以選擇結構及操作特徵,以防止電漿從第一電漿區域215顯著回流回到供應區域258、氣體入口組件205及流體供應系統210。面板217(或腔室的導電頂部)及噴灑頭225顯示為具有位於特徵間之絕緣環220,這允許相對於噴灑頭225及/或離子抑制器223對面板217施加AC電位。絕緣環220可位於面板217與噴灑頭225及/或離子抑制器223間,使得電容耦合電漿(CCP)能在第一電漿區域中形成。擋板(未示出)可額外地位於第一電漿區域215中,或以其他方式耦接氣體入口組件205,以影響流體通過氣體入口組件205流入該區域。在一些實施例中,可利用額外的電漿源(包括在腔室周圍延伸或與腔室流體連通之感應耦合電漿源),還有額外的電漿產生系統。
離子抑制器223可包含在整個結構中界定複數個孔之板或其他幾何結構,所述孔經配置以抑制帶離子電荷物種遷移離開第一電漿區域215,同時允許不帶電的中性或自由基物種通過離子抑制器223進入位在抑制器與噴灑頭間之經活化氣體輸送區域。在實施例中,離子抑制器223可包含有多種孔洞配置之穿孔板。這些不帶電的物種可包括高反應性物種,高反應性物種與較低反應性載氣一起通過孔來傳輸。如上所記述,可減少,且在某些情況下完全抑制離子物種通過孔的遷移。控制通過離子抑制器223之離子物種的量可有利地增加對與下方晶圓基板接觸之氣體混合物之控制,這反過來可增加對氣體混合物的沉積及/或蝕刻特性之控制。舉例而言,調整氣體混合物的離子濃度可顯著改變其蝕刻選擇性,如,SiNx:SiOx蝕刻比、Si:SiOx蝕刻比等。在進行沉積之替代實施例中,其還可移動介電材料的共形-對-可流動式沉積(conformal-to-flowable style deposition)之平衡。
離子抑制器223中之複數個孔洞可經配置而控制經活化氣體(即,離子物種、自由基物種及/或中性物種)通過離子抑制器223。舉例而言,可以控制孔的深寬比或孔的直徑對長度及/或孔的幾何形狀,從而減少通過離子抑制器223之經活化氣體中之離子化帶電荷物種的流動。離子抑制器223中的孔可包括面向電漿激發區域215之錐形部分及面向噴灑頭225之圓柱形部分。可訂定圓柱形部分的形狀和尺寸,以控制離子物種通過噴灑頭225的流動。也可對離子抑制器223施加可調整的電偏壓,作為控制離子物種通過抑制器的流動之額外手段。
離子抑制器223可起以下作用:減少或消除從電漿產生區域行進至基板之帶離子電荷物種(ionically charged species)的量。不帶電的中性和自由基物種仍可能通過離子抑制器中之開口而與基板反應。應注意的是,在實施例中,可以不完全消除圍繞基板之反應區域中之帶離子電荷物種。在一些例子中,欲使離子物種到達基板,以進行蝕刻及/或沉積製程。在這些例子中,離子抑制器可有助於將反應區域中之離子物種的濃度控制在有助於所述製程之水平。
噴灑頭225與離子抑制器223結合可允許存在於第一電漿區域215中之電漿避免直接激發基板處理區域233中之氣體,同時仍允許經激發的物種從腔室電漿區域215行進至基板處理區域233內。以這種方式,腔室可經配置以防止電漿接觸被蝕刻之基板255。這可以有利地保護在基板上圖案化之各種複雜結構和膜,若直接接觸所產生的電漿,這些複雜結構和膜可能會被損壞、錯位或者翹曲。此外,當允許電漿接觸基板或接近基板水平時,氧化物物種蝕刻之速率可能增加。因此,若材料的暴露區域為氧化物,則可藉由使電漿維持在基板的遠端而進一步保護材料。
處理系統可進一步包括:電源供應器240,電源供應器240與製程腔室電性耦接,以供應電功率至面板217、離子抑制器223、噴灑頭225及/或基座265,以在第一電漿區域215或處理區域233中產生電漿。電源供應器可經配置以根據所進行之製程輸送可調節量之功率。這種配置可允許在所進行之製程中使用可調節的電漿。不同於通常具有開啟或關閉功能之遠端電漿單元,可調節的電漿可經配置以向電漿區域215輸送特定量之功率。這繼而可允許發展特定的電漿特性,使得前驅物可以特定方式離解,以增強由這些前驅物產生之蝕刻輪廓。
可在噴灑頭225上方之腔室電漿區域215或噴灑頭225下方之基板處理區域233中點燃電漿。電漿可存在於腔室電漿區域215中,以從例如,含氟前驅物或其他前驅物的流入物產生自由基前驅物。可將通常在射頻(RF)範圍內之AC電壓施加於製程腔室的導電頂部(如面板217)與噴灑頭225及/或離子抑制器223間,以於沉積期間在腔室電漿區域215中點燃電漿。RF電源供應器可產生13.56 MHz的高RF頻率,但也可產生單獨的其他頻率或與13.56 MHz頻率結合之其他頻率。
第2B圖顯示影響經過面板217的處理氣體分佈之特徵的詳細視圖253。如第2A及2B圖所示,面板217、冷卻板203及氣體入口組件205相交,以界定氣體供應區域258,可從氣體入口205將製程氣體輸送進入氣體供應區域258內。氣體可填充氣體供應區域258並經過面板217中之孔259流至第一電漿區域215。可將孔259配置為以基本單向的方式引導流動,使得製程氣體可流入處理區域233內,但可以在穿過面板217後部份或完全地防止其回流到氣體供應區域258內。
用於製程腔室區塊200中之氣體分佈組件(如噴灑頭225)可稱為雙通道噴灑頭(dual channel showerhead; DCSH),且在第3圖中描述的實施例中另外詳述。雙通道噴灑頭可就蝕刻製程提供允許蝕刻劑在處理區域233外之分離,以在被輸送到處理區域前提供與腔室部件和彼此之間的有限交互作用。
噴灑頭225可包含上方板214及下方板216。所述板可彼此耦接以在所述板間界定容積218。板的耦接使其可提供通過上方板及下方板之第一流體通道219,以及通過下方板216之第二流體通道221。所形成之通道可經配置為僅透過第二流體通道221提供從容積218經過下方板216之流體通路,且第一流體通道219可與板與第二流體通道221間之容積218流體隔離。可經過氣體分佈組件225的側面流體地接取容積218。
第3圖為根據實施例之與製程腔室一起使用之噴灑頭325的底部視圖。噴灑頭325可對應於第2A圖中所示之噴灑頭225。為了控制並影響前驅物經過噴灑頭225之流動,顯示第一流體通道219的景象之通孔365可具有複數個形狀及配置。顯示第二流體通道221的景象之小孔375可以基本上均勻地分佈在噴灑頭的表面上,甚至在通孔365之間,且可有助於在前驅物離開噴灑頭時提供相較於其他配置方式更均勻混合之前驅物。
前文討論之腔室可用於進行範例方法,包括蝕刻方法。現請參見第4圖,其顯示根據本技術的實施例之方法400中之範例操作。在所述方法的第一個操作前,可在將基板置入可執行方法400之腔室的處理區域內前,使基板經過一或多種方式處理。舉例而言,可在基板上形成IPD層,且接著可形成穿過堆疊層之一或多個記憶體孔或溝槽。IPD層可包括任何數量的材料,且可包括佔位材料(placeholder material)及介電材料的交替層。在實施例中,介電材料可為或包括氧化矽,且佔位材料可為氮化矽或可包括氮化矽。儘管剩餘的揭示內容將討論氮化矽和氧化矽,但是在這兩個層中使用之任何其他已知材料可能可以取代一或多個所述層。可在如前文描述之腔室或系統中進行這些操作中之一些或全部,或可在相同系統工具上之不同腔室中進行這些操作中之一些或全部,所述系統工具可包括在其中進行方法400的操作之腔室。
方法400可包括:在操作405,將含氟前驅物流入半導體製程腔室的遠端電漿區域內。範例腔室可為前文描述之腔室200,其可包括RPS單元201或第一電漿區域215中之一或二者。這些區域中之任一或二者可為操作405中使用之遠端電漿區域。在操作410,可在遠端電漿區域內產生電漿,這可產生含氟前驅物的電漿流出物。在操作415,電漿流出物可流至腔室的處理區域。在一些實施例中,在可選的操作420,電漿流出物可在處理區域中與基板交互作用,且可鈍化或保護結構的某些部分。舉例而言,在一些實施例中,電漿流出物可鈍化氧化物材料。如所記述,基板可包括其上已形成多個材料層(如氧化矽及氮化矽之交替層)之矽或含矽基板或晶圓。可穿過堆疊層形成延伸至基板水平之記憶體孔或溝槽,這可在孔或溝槽的底部處提供基板的暴露部分。以此方式,在孔結構內,可有氮化矽、氧化矽及矽或一些含矽材料之暴露區域。
孔或溝槽的形成可發生在不同的腔室中,或者發生在某個先前的操作步驟。若在與方法400相同的腔室內進行,則基板的表面的暴露部分可能相對乾淨或整潔。然而,若在不同腔室或在不同環境中進行所述製程,則可能會通過孔或溝槽在基板的暴露部分上方形成原生氧化物。原生氧化物可能不同於在記憶體結構的交替層中形成之氧化物。舉例而言,儘管可用來劃分記憶體單元之氧化矽層可為相對較高品質的氧化物,但原生氧化物可為相對較低品質的氧化物,且相較於氧化矽層可為相對多孔的。
去除氮化矽之蝕刻製程對氧化矽可具有相對較高的選擇性,如大於或約100:1或更高的選擇性。然而,在一些結構中,待去除之氮化矽的量可為數奈米到幾分之一微米或更多。舉例而言,在一些實施例中,待凹入之氮化矽的量可為幾十奈米至幾百奈米。這樣的待蝕刻材料量可在相對較長的蝕刻時間段內發生。可部分地基於氧化物對蝕刻劑的抗性來操作氮化物去除製程對氧化物的選擇性,所述蝕刻劑可包括數種含氟材料。氟最終也可能滲入氧化矽材料的部分,產生揮發性材料,揮發性材料也將去除氧化矽材料。然而,此製程通常包括培養期(incubation period),氟與氧化物材料在培養期中緩慢地交互作用。取決於氧化物的品質、氟的能量和其他處理條件,培養可發生達2分鐘或更久,如長達5分鐘、長達10分鐘或更久。因此,藉由形成氧化矽之鈍化,可以有限的方式影響氧化物材料,而該製程可在操作425側向地或等向性地蝕刻氮化矽。
自由基氟流出物可接觸半導體結構並滲透所形成之溝槽。氧化矽的暴露表面可不受氟電漿流出物影響,或可受氟電漿流出物之最小程度影響,而氮化矽可在氧化矽的區塊間被側向蝕刻。此外,如將在下文解釋的,在一些實施例中,藉由利用特定的含氟前驅物還有添加前驅物,可在氧化矽的暴露表面上方形成鈍化層,且可在材料的上方形成聚合的保護層。
此損壞或交互作用的程度可能與用於形成含氟電漿流出物之電漿的功率及所形成之流出物行進的距離有關。舉例而言,藉由利用遠端電漿,可以使用相對較低的電漿功率(如低於5 kW、低於或約3 kW、低於或約1 kW、低於或約500 W或更低),這可能會限制電漿流出物的能量,還限制前驅物材料的完全解離。此外,藉由形成遠端電漿(其可包括如上文所解說在輸送至基板前之離子過濾),離子電漿流出物與氮化矽結構交互作用的程度可受到限制。舉例而言,局部電漿可在晶圓水平處保留足夠能量,以透過轟擊製程至少損壞包含在堆疊中之氧化矽或氮化矽的上層。此外,離子流出物通常具有方向性,這可能有利於垂直於流出物輸送方向的表面之異向性蝕刻,但可能不利於側向蝕刻。本技術利用電漿中產生之中性或自由基物種來產生等向性蝕刻劑,其可側向蝕刻氮化矽。隨著總流量減少及/或壓力增加,如下文所述,可在電漿持續的同時進一步降低電漿功率,例如低於或約400 W、低於或約300 W、低於或約200 W、低於或約100 W或更低。這可進一步限制氟解離和添加前驅物解離,這可改進氮化物的選擇性蝕刻(這可更容易發生)同時氧化物蝕刻可在初始期或飽和後發生。此外,添加前驅物可具有減少的解離,這在一些實施例中可促進鈍化層的發展。
在一些實施例中,蝕刻製程可持續達第一時間段。在第一時間段後,可隨著電漿的形成而停止含氟前驅物的流動。然後可在可選的操作430進行淨化,這可從腔室去除殘留的蝕刻劑材料、蝕刻副產物或其他材料。可使用任何數量的化學惰性材料(如氮或稀有氣體)來進行淨化,這些材料可用於淨化腔室的處理區域。淨化製程可藉由加速副產物還有較不利的電漿流出物之去除,來增進蝕刻選擇性,並減少這些材料在處理區域內之留駐時間。舉例而言,這可促進氮化矽的側向蝕刻,同時減少對氧化矽之暴露和衝擊。
第一時間段可足以產生蝕刻,同時限制可能開始影響氧化物表面之留駐時間。舉例而言,在一些實施例中,第一時間段可為大於或約5秒,且可為大於或約10秒、大於或約15秒、大於或約20秒、大於或約25秒、大於或約30秒、大於或約35秒、大於或約40秒、大於或約45秒、大於或約50秒、大於或約55秒、大於或約60秒、大於或約2分鐘、大於或約3分鐘、大於或約4分鐘、大於或約5分鐘或更長。然而,為了限制額外效應,在一些實施例中,第一時間段可為小於或約5分鐘、小於或約4分鐘、小於或約3分鐘、小於或約2分鐘或更短。
如將於下文描述,本技術中使用之前驅物可包括含氟前驅物還有額外前驅物。範例含氟前驅物可為三氟化氮(NF 3),可將三氟化氮(NF 3)流入遠端電漿區域內,遠端電漿區域可與處理區域分隔但流體耦接處理區域。其他氟源可與三氟化氮結合使用或作為三氟化氮之替代物。通常,含氟前驅物可流入遠端電漿區域內,且含氟前驅物可包括選自由以下者組成之群組中之至少一種前驅物:原子氟、雙原子氟、三氟化氮、四氟化碳、氟化氫、二氟化氙,及可用於半導體處理或對半導體處理有用之各種其他含氟前驅物。
在一些實施例中,含氟前驅物的特徵可在於含氟前驅物的分子中之增加的氟含量。舉例而言,在一些實施例中,含氟前驅物的特徵可為分子式XF y。X可以是任何數量的材料或週期性元素,且y可為大於或約1、大於或約2、大於或約3、大於或約4、大於或約5、大於或約6或更大的數目。在一些實施例中,可用額外的鹵素元素取代氟。化學式的標記可能只是為了表示比率,且可不限制前驅物。舉例而言,所列化學式可涵蓋X 2F 8,其中y為4。該化學式涵蓋的其他實例也將很容易理解。元素X可為可與氟或其他鹵化物形成化合物之各種元素中之任何一種。
舉例而言,非限制性實例可包括可與鹵化物鍵結之任何其他非金屬(如硫或磷),還有可與鹵素元素化學鍵結之任何其他貧金屬(poor metal)、過渡金屬或其他元素。作為非限制性實例,含氟前驅物可包括五氟化磷、六氟化硫及其他含氟材料或含鹵素材料。這些材料可能會產生大量可能增加蝕刻之電漿流出物材料。舉例而言,使用六氟化硫,可以產生多種元素,包括S、F、SF、SF 2、SF 3、SF 4、SF 5、F 2、S 2F 8,還有許多其他自由基和中性物種,並有助於蝕刻。
儘管可使用任何數量的含鹵素前驅物(如含氟前驅物),但某些材料(如磷和硫)可增進優於其他材料(如三氟化氮)之選擇性,例如,因為氧化矽材料可提供額外的影響。舉例而言,硫化合物和磷化合物可以在氧化矽的暴露表面上產生一類鈍化或保護材料。舉例而言,硫和磷是足夠大的元素,可以發生一定量的聚合以在氧化物的表面上方產生一類橋接聚合物(bridge polymer)。硫可以與氧表面鍵結,並結合到膜內,同時保留一或多個氟原子,這可以保護表面免受額外的氟化和與氧表面產生之反應。這可以允許蝕刻氮化物結構,同時保持或限制對氧化物層的任何影響,因為在氮化物上可能沒有推論形成。
在一些實施例中,添加前驅物可與含矽前驅物一起流動。添加前驅物可為或可包括含鹵素前驅物,所述含鹵素前驅物包括不同於氟之鹵素。舉例而言,添加前驅物可包括前驅物,所述前驅物包括VII族元素,或鹵素,伴隨任何III族、IV族、V族或VI族元素(且以任何組合)。範例材料的特徵可在於分子式X aY b,其中X包括任何III族、IV族、V族或VI族元素,Y包括氯、溴或碘,a為1、2或3,且b為3、4、5、6、7、8或9。添加前驅物配方也可涵蓋以化學式R 1R 2R 3XY為特徵之前驅物,其中X可為任何IV族元素,Y可為氯、溴或碘,且R 1至R 3可為任何組合、H、甲基、乙基或其他碳氫化合物、額外的鹵素或額外的IV族元素,其與任何其他提到的延伸鏈之材料鍵結。舉例而言,但不限於以上化學式涵蓋之前驅物,範例前驅物可包括任意組合的矽和氯化物,如四氯化碳及/或六氯化二矽,且類似地,前驅物可包括碳和氯化物、鍺和氯化物、矽和氟、碳和氟、鍺和氟、矽和溴、碳和溴、鍺和溴、矽和碘、碳和碘、鍺和碘、硒和氟、溴、氯或碘、碲和氟、溴、氯或碘、磷和氟、溴、氯或碘,及砷和氟、溴、氯或碘。此外,添加前驅物的特徵可在於一或多個甲基團,如三甲基矽烷。
添加前驅物可作為如上所述之鈍化前驅物來操作。舉例而言,儘管含氟前驅物可在充分暴露或沒有充分鈍化後蝕刻材料(包括氮化矽還有氧化矽),但添加前驅物可進行與上述相同的鈍化操作,而不蝕刻結構。因為其他含鹵素前驅物可進行與上述氟前驅物類似功能,故可進行相同操作,同時藉由限制額外的氟加入來進一步控制蝕刻操作。儘管由上述化學式涵蓋之任何前驅物可用作添加前驅物,但在一些實施例中,可使用以矽-矽、碳碳、鍺-鍺或類似原子鍵結結構為特徵之前驅物,因為低功率電漿可能更容易破壞前驅物的輔助部分(ancillary moieties)上之此鍵結。添加前驅物還可促進經蝕刻表面的癒合。舉例而言,添加前驅物可包括如上所述的矽。一旦經電漿強化,若被蝕刻的話,含矽前驅物可將矽提供回氧化矽。當從處理環境移出結構時,添加的矽可能被氧化,其中大氣內之水可與矽反應,以恢復被氧化的表面。因此,該程序可限制、防止或再生氧化矽,這可在蝕刻製程期間維持氧化矽層。在添加前驅物包括氟之一些實施例中,添加前驅物可取代含氟前驅物。
在本技術的一些實施例中,額外前驅物可與含氟前驅物一起輸送。舉例而言,可輸送含氫前驅物,或可輸送一或多種其他前驅物,如含氬前驅物、含氮前驅物、含氦前驅物及含氧前驅物,或其他前驅物。氫及氬相對於氦可容易地離子化,這在一些實施例中可促進處理。含氫前驅物可為或可包括氫、碳氫化合物或任何含氫前驅物。含氧前驅物的實例可為或可包括水蒸氣、過氧化氫、氧氣、臭氧、一氧化二氮、一氧化氮或經賦能之含氧材料,儘管如先前解釋,在一些實施例中,含氧前驅物可不經電漿增強,以限制穿過待後續蝕刻之溝槽與氮化矽材料之交互作用。本技術可額外地蝕刻矽,且提供一定量之含氧前驅物可促進蝕刻。
不受任何特定理論的束縛,提供諸如或包括氫或氬之類的材料還有其他前驅物可藉由向製程提供額外的電子來促進蝕刻製程。雖然氟可為電漿中之電子的擬清除劑(pseudo scavenger),但額外前驅物可貢獻額外的電子,這可能會增加電漿內之電子密度,改進蝕刻製程和對氮化物之選擇性。因此,在一些實施例中,可維持含氟前驅物對額外前驅物的流速比。舉例而言,添加前驅物及/或額外前驅物(如氫或氬)的流速比可相對於含氟前驅物維持在至少約1:2,且可維持在大於或約1:1、大於或約1.5:1、大於或約2.0:1、大於或約2.5:1、大於或約3.0:1、大於或約3.5:1、大於或約4.0:1或更高。然而,可維持流速比以限制稀釋,一旦足夠高可能會抑制額外的蝕刻,且因此在一些實施例中,可將額外前驅物對含氟前驅物的流速比維持在小於或約10.0:1、小於或約9.0:1、小於或約8.0:1、小於或約7.0:1、小於或約6.0:1、小於或約5.0:1、小於或約4.0:1、小於或約3.0:1、小於或約2.0:1、小於或約1.0:1或更低。舉例而言,可包括額外前驅物以促進電漿形成,如可提供電子之氬。然而,當添加前驅物之特徵在於比氬更低的離子化能時,可從電漿前驅物去除氬,這可提高選擇性。
製程條件也可能影響方法400中進行之操作。在實施例中,可在恆定的溫度期間進行方法400的各操作,而在一些實施例中,可在不同的操作期間調節溫度。可將溫度維持在任何範圍中,然而,在較高的溫度下,可能會發生含氟材料之進一步解離,這可能產生更多氟自由基。隨著氟自由基的量增加,氧化物可能更容易開始蝕刻,且選擇性可能會降低。因此,在一些實施例中,可將溫度維持在低於或約700 °C,且可將溫度維持在低於或約650 °C、低於或約600 °C、低於或約550 °C、低於或約500 °C或更低。在一些實施例中,氮化物或矽蝕刻期間之基板、基座或腔室溫度可維持在小於或約400 °C的溫度,且在一些實施例中,可將溫度維持在小於或約350 °C、小於或約300 °C、小於或約250 °C、小於或約200 °C、小於或約150 °C、小於或約100 °C、小於或約50 °C、小於或約25 °C、小於或約10 °C、小於或約0 °C、小於或約-10 °C、小於或約-20 °C、小於或約-30 °C或更低。
隨著製程溫度降低,可強化前驅物的選擇性,以減少或限制游離氫。舉例而言,儘管甲基團仍可有益地鈍化暴露的氧化物表面,但隨著溫度降低至低於或約20 °C,游離氫可能會產生氨或氟亞醯胺(fluorimide),這可能會藉由產生氟矽酸銨(ammonium fluorosilicate)作為副產物來蝕刻氧化物。因此,在一些實施例中,可將氫濃度對前驅物的任何其他元素限制在小於1:1,且基於電漿功率,可限制在甲基團,所述甲基團可在氮化物蝕刻期間對暴露的氧化物表面進行鈍化。
在一些實施例中,可在各種壓力下進行製程,這可在任何數量的製程腔室中促進操作。舉例而言,可在能提供(如以渦輪分子泵(turbomolecular pump))低於或約10毫托或更低的壓力之腔室內進行製程。此外,可將腔室內之壓力維持在較高壓力,這可增加相關的蝕刻速度,且可將製程腔室內之壓力維持在大於或約1托,且可維持在大於或約2托、大於或約5托、大於或約10托、大於或約50托、大於或約100托、大於或約200托或更高。
前驅物和總流速也可有助於改進的氮化矽蝕刻。舉例而言,可在以下流速輸送或維持氬、氦、氮或其他電漿穩定前驅物:小於或約100 sccm,且可維持在小於或約90 sccm、小於或約80 sccm、小於或約70 sccm、小於或約60 sccm、小於或約50 sccm、小於或約40 sccm、小於或約30 sccm、小於或約20 sccm、小於或約10 sccm或更小。藉由降低電漿穩定前驅物的流量,可減少解離,可使用較低的電漿功率來產生電漿,且可控制蝕刻以增加氮化物蝕刻,這相對於氧化物蝕刻可能更容易發生。可將添加前驅物、第一含鹵素前驅物、第二含鹵素前驅物的流量或總前驅物流量維持在低於或約任何記載的流速,這可進一步控制解離並增進氮化物蝕刻相對於氧化物蝕刻的選擇性。
藉由進行一定量的蝕刻,接著進行一定量的淨化,可進行對氮化矽之受控的側向或等向性蝕刻。為了進一步促進蝕刻,可在數個循環中進行本技術,以更新氧化矽,允許去除蝕刻副產物,並促進蝕刻劑輸送至氮化矽的側向凹槽內。在一些實施例中,取決於如要進行之氮化矽蝕刻的程度或製程的其他影響等因素,可在大於或約2、大於或約3、大於或約4、大於或約5、大於或約10個循環、大於或約20個循環、大於或約50個循環、大於或約100個循環、大於或約200個循環或更多循環中進行製程(包括可選的淨化)。
進行額外循環的益處可包括:當氫與蝕刻劑前驅物合併時,氫電漿流出物可有益地與堆疊的氧化矽層交互作用,以萃取可在各循環期間與所述層交互作用之氟。如前文所論述,在氟可開始與氧化物結構交互作用並延伸進入氧化物結構內之培養期後,氧化矽最終可與用於去除氮化矽之製程發生反應。然而,儘管氫流出物可能不與氧化矽本身反應,或可僅發生最小程度的交互作用,但流出物能量可能足以抽出已開始與氧化矽交互作用之氟,且當電漿流出物接觸氧化矽層的暴露表面時可從層去除氟。藉由進行如上文所述之淨化,可將被去除的氟和經反應的氫從腔室排出。這可以至少在一定程度上更新培養期,並可藉由在各循環中從氧化矽去除殘留蝕刻劑來增加氮化矽蝕刻製程相對於氧化矽之整體選擇性。藉由進行上文所述之製程,可將氮化矽相對於氧化矽的蝕刻選擇性維持在大於或約10:1,且可產生大於或約15:1、大於或約20:1、大於或約30:1、大於或約50:1、大於或約70:1、大於或約100:1或更高的選擇性。
請參見第5A至5C圖,其顯示根據本技術的一些實施例處理之結構500的剖面視圖。如第5A圖所繪示,基板505可具有覆蓋基板之複數個堆疊層,基板可為矽、矽鍺或其他基板材料。層可包括IPD層,IPD層包括介電材料510,介電材料510可為氧化矽,其與佔位材料520(其可為氮化矽)成為交替層。佔位材料520可為或可包括將在後續操作中被去除以產生個別記憶體單元之材料。儘管僅繪示7個材料層,範例結構可包括前文論述之任何層數,且應理解到,附圖僅是用於解說本技術之態樣的示意圖。可穿過堆疊的結構至基板505的位凖界定可為記憶體孔之溝槽530。可由側壁532(其可由介電材料510和佔位材料520之交替層限定)界定溝槽530。
在第5B圖中繪示已開始進行根據本技術之方法(如參照第4圖於上文討論者)後的結構。可形成含氟前驅物(其可包括額外前驅物)的遠端電漿,以產生電漿流出物。可將電漿流出物輸送至基板處理區域,流出物可在基板處理區域中與基板和暴露的材料交互作用。如上文所述,在蝕刻氮化矽或佔位材料520時,根據本技術的實施例之某些前驅物的電漿流出物可鈍化氧化矽或在暴露的區域上產生保護層540。
第5C圖繪示已進行根據本技術之進一步方法或操作(如參照第4圖於上文討論者)後的結構。舉例而言,隨著蝕刻製程持續,額外的鈍化或保護材料540可延伸到介電材料510的進一步暴露表面上方,這可在氮化矽在製程的循環期間繼續被凹陷時繼續保護材料免受垂直蝕刻。藉由利用如貫穿本技術所論述之前驅物及處理,可從氧化矽的區塊間等向性地或側向地蝕刻氮化矽,同時限制氧化矽的損壞或去除。
在前文描述中,出於解說之目的,已經闡述了諸多細節以便提供對本技術之各種實施例的理解。然而,對於本案所屬技術領域中具通常知識者將顯而易見的是,可在沒有這些細節中的某些細節或在有額外細節的情況下實踐某些實施例。
在已揭示若干實施例之後,本案所屬技術領域中具通常知識者將認識到,在不偏離實施例之精神的情況下可使用各種修改、替代構造及等效物。另外,為了避免不必要地混淆本技術,未描述若干已熟知的製程及元件。因此,上文描述不應視為限制本技術之範疇。另外,方法或製程可以被描述為依序或成步驟進行,但是應當理解,操作可同時進行,或以與所列順序不同的順序進行。
在提供一範圍之值之情況下,除非本文另有明確指定,應理解亦特定地揭示彼範圍之上限與下限之間的每一中間值,精確度為至下限單位的最小分位。將涵蓋在陳述範圍中之任一陳述值或未陳述的中間值與在彼陳述範圍中之任一其他陳述值或中間值之間的任何較窄範圍。此等較小範圍之上限及下限可獨立地包括於該範圍中或排除於該範圍之外,且在界限中任一者、沒有任一界限或兩界限皆包括於該等較小範圍中之每一範圍亦涵蓋於本技術內,所述每一範圍受所陳述範圍中任何特定排除之界限管轄。在所陳述範圍包括該等限制中一者或兩者之情況下,亦包括排除彼等包括之限制中一者或兩者之範圍。
如本文及隨附申請專利範圍中所使用,除非本文另有明確指定,否則單數形式「一(a)」、「一(an)」及「該(the)」包括複數參照。因此,例如,參照「一前驅物」包括複數個此類前驅物,且參照「該層」包括參照一或多種層及本案所屬技術領域中具通常知識者所知之等效物,等等。
又,當在本案說明書中及下文申請專利範圍中使用字彙「包含(comprise)」、「包含(comprising)」、「含有(contain)」「包括(include)」及「包括(including)」時,意欲指定陳述之特徵、整數、部件或操作之存在,但該等字彙不排除一或多個其他特徵、整數、部件、操作、動作或群組之存在或添加。
100:處理系統 102:前開式統一傳送盒 104:機械手臂 106:低壓保持區 108a~108f:基板製程腔室 109a~109c:串聯區塊 110:第二機械手臂 200:製程腔室系統 201:遠端電漿系統/RPS 203:冷卻板 205:氣體入口組件 210:流體供應系統 214:上方板 215:第一電漿區域 216:下方板 217:面板 218:容積 219:第一流體通道 220:絕緣環 221:第二流體通道 223:離子抑制器 225:噴灑頭 233:基板處理區域 240:電源供應器 253:詳細視圖 255:基板 258:氣體供應區域 259:孔 265:基板支撐件 325:噴灑頭 365:通孔 375:小孔 400:方法 405~430:操作 500:結構 505:基板 510:介電材料 520:佔位材料 530:溝槽 532:側壁 540:保護層
透過參考說明書的其餘部份及圖式,可進一步瞭解本文揭露之技術的本質與優點。
第1圖繪示根據本技術的一些實施例之範例處理系統的一個實施例的俯視圖。
第2A圖繪示根據本技術的一些實施例之範例製程腔室的示意剖面視圖。
第2B圖繪示根據本技術的一些實施例,第2A圖中圖解之製程腔室的一部分的詳細視圖。
第3圖繪示根據本技術的一些實施例之範例噴灑頭的仰視圖。
第4圖繪示根據本技術的一些實施例之方法中之範例操作。
第5A至5C圖繪示根據本技術的一些實施例之處理中基板的剖面視圖。
以示意方式包括數個圖式。應理解到,該等圖式僅用於說明之目的,且除非特別說明是按比例繪示,否則不應被視為按比例繪示。此外,作為示意圖,該等圖式用於幫助理解,且相較於現實的表現,可能不包括所有態樣或資訊,且出於說明之目的,可能包括誇大的材料。
在附圖中,相似的部件及/或特徵結構可具有相同的元件符號。進一步而言,同類的各部件可透過在元件符號後加上字母(該字母區別類似部件)加以區別。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號之任何一個相似部件,無論第二符號為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:方法
405~430:操作

Claims (19)

  1. 一種蝕刻一含矽材料之方法,該方法包含以下步驟:將一含氟前驅物流入一半導體製程腔室的一遠端電漿區域內,其中該含氟前驅物包含硫或磷;在該遠端電漿區域內形成一電漿,以產生該含氟前驅物的電漿流出物;將該等電漿流出物流入該半導體製程腔室的一處理區域內,其中一基板安置於該處理區域內,且其中該基板包含穿過多個堆疊層而形成之一溝槽,該等堆疊層包括氮化矽及氧化矽之多個交替層;以及在實質上維持該氧化矽的同時,等向地蝕刻氮化矽的該等層。
  2. 如請求項1所述之蝕刻含矽材料之方法,進一步包含以下步驟:在一第一時間段後停止該含氟前驅物之流動;以及以一淨化前驅物淨化該處理區域。
  3. 如請求項2所述之蝕刻含矽材料之方法,其中該淨化前驅物包含氮。
  4. 如請求項1所述之蝕刻含矽材料之方法,進一步包含以下步驟:使一添加前驅物伴隨該含氟前驅物流動,其中該添加前驅物包含除了氟以外之鹵素。
  5. 如請求項1所述之蝕刻含矽材料之方法,其中氮化矽與氧化矽間之一蝕刻選擇性係大於或約 20:1。
  6. 如請求項1所述之蝕刻含矽材料之方法,其中在約10毫托與約5托間之一腔室操作壓力下進行該方法。
  7. 如請求項1所述之蝕刻含矽材料之方法,其中在小於或約20℃之一腔室溫度下進行該方法。
  8. 如請求項1所述之蝕刻含矽材料之方法,進一步包含以下步驟:使氬、氦或氮伴隨該含氟前驅物流動。
  9. 如請求項8所述之蝕刻含矽材料之方法,其中該氬、氦或氮對該含氟前驅物之一流速比係小於或約2:1。
  10. 如請求項1所述之蝕刻含矽材料之方法,進一步包含以下步驟:使一含氫前驅物伴隨該含氟前驅物流動。
  11. 如請求項1所述之蝕刻含矽材料之方法,進一步包含以下步驟:將一鈍化層形成於該氧化矽上方。
  12. 一種蝕刻一含矽材料之方法,該方法包含以下步驟:將一第一含鹵素前驅物及一第二含鹵素前驅物流入一半導體製程腔室的一遠端電漿區域內,其中該第一含鹵素前驅物包含氟,且其中該第二含鹵素前驅物包含以下一者:氯、溴或碘;在該遠端電漿區域內形成一電漿,以產生該第一含鹵 素前驅物及該第二含鹵素前驅物的電漿流出物;將該等電漿流出物流入該半導體製程腔室的一處理區域內,其中一基板安置於該處理區域內,且其中該基板包含穿過多個堆疊層而形成之一溝槽,該等堆疊層包括氮化矽及氧化矽之多個交替層;側向地蝕刻該等氮化矽層;在一第一時間段後停止該含鹵素前驅物之流動;以及以一淨化前驅物淨化該處理區域。
  13. 如請求項12所述之蝕刻一含矽材料之方法,進一步包含以下步驟:將一鈍化層形成於該氧化矽的暴露表面上方,其中該鈍化層包含材料之一聚合層,該材料包含該第二含鹵素前驅物之元素。
  14. 如請求項12所述之蝕刻一含矽材料之方法,其中該第一含鹵素前驅物包含硫及氟。
  15. 如請求項12所述之蝕刻一含矽材料之方法,進一步包含以下步驟:重複該方法達至少10個循環。
  16. 如請求項12所述之蝕刻一含矽材料之方法,其中該第一時間段係大於或約30秒。
  17. 如請求項12所述之蝕刻一含矽材料之方法,進一步包含以下步驟:使氬或氮伴隨該含鹵素前驅物流動。
  18. 如請求項17所述之蝕刻一含矽材料之方法,其中該氬或氮對該含鹵素前驅物之一流速比係小於或約2:1。
  19. 一種蝕刻一含矽材料之方法,該方法包含以下步驟:將一含氟前驅物流入一半導體製程腔室的一遠端電漿區域內;將一含鹵素前驅物流入一半導體製程腔室的一遠端電漿區域內,其中該含鹵素前驅物包含氯、溴或碘;在該遠端電漿區域內形成一電漿,以產生該含氟前驅物及該含鹵素前驅物的電漿流出物;將該等電漿流出物流入該半導體製程腔室的一處理區域內,其中一基板安置於該處理區域內,且其中該基板界定穿過多個堆疊層之一溝槽,該等堆疊層包括氮化矽及氧化矽之多個交替層;等向地蝕刻該等氮化矽層;在一第一時間段後停止該含氟前驅物及該含鹵素前驅物之流動;以及以一淨化前驅物淨化該處理區域。
TW111108997A 2021-03-12 2022-03-11 等向性氮化矽移除 TWI836370B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163160287P 2021-03-12 2021-03-12
US63/160,287 2021-03-12

Publications (2)

Publication Number Publication Date
TW202301464A TW202301464A (zh) 2023-01-01
TWI836370B true TWI836370B (zh) 2024-03-21

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170178923A1 (en) 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170178923A1 (en) 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Similar Documents

Publication Publication Date Title
TWI778793B (zh) 用於高深寬比結構之移除方法
TW201826383A (zh) 選擇性的SiN側向凹部
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US10283324B1 (en) Oxygen treatment for nitride etching
US20220293430A1 (en) Isotropic silicon nitride removal
US20210111033A1 (en) Isotropic silicon nitride removal
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
TWI817471B (zh) 高深寬比特徵中的金屬蝕刻
US10128086B1 (en) Silicon pretreatment for nitride removal
TWI836370B (zh) 等向性氮化矽移除
TWI785783B (zh) 用於選擇性金屬化合物移除之系統及方法
US20240120210A1 (en) Isotropic silicon nitride removal
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻
JP2023530814A (ja) タングステン含有膜除去のためのシステム及び方法
CN117597769A (zh) 过渡金属氮化物材料的选择性移除