JP2023530814A - タングステン含有膜除去のためのシステム及び方法 - Google Patents

タングステン含有膜除去のためのシステム及び方法 Download PDF

Info

Publication number
JP2023530814A
JP2023530814A JP2022564260A JP2022564260A JP2023530814A JP 2023530814 A JP2023530814 A JP 2023530814A JP 2022564260 A JP2022564260 A JP 2022564260A JP 2022564260 A JP2022564260 A JP 2022564260A JP 2023530814 A JP2023530814 A JP 2023530814A
Authority
JP
Japan
Prior art keywords
plasma
containing precursor
halogen
region
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022564260A
Other languages
English (en)
Other versions
JP7503650B2 (ja
Inventor
ジェンジアン ツイ,
ロハン プリゴル レッディ,
アンチョアン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023530814A publication Critical patent/JP2023530814A/ja
Application granted granted Critical
Publication of JP7503650B2 publication Critical patent/JP7503650B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Paints Or Removers (AREA)
  • Weting (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

例示的なエッチング方法は、プラズマ放出物を生成するために、半導体処理チャンバの遠隔プラズマ領域にハロゲン含有前駆体を流入させつつ、プラズマを衝突させることを含みうる。本方法は、処理領域内に収納される基板をプラズマ放出物と接触させることを含みうる。基板は、酸化タングステンの露出領域を画定しうる。接触させることは、オキシフッ化タングステン材料を生成しうる。本方法は、エッチャント前駆体を処理領域に流入させることを含みうる。本方法は、オキシフッ化タングステン材料をエッチャント前駆体と接触させることを含みうる。本方法は、オキシフッ化タングステン材料を除去することを含みうる。【選択図】図4

Description

関連出願の相互参照
[0001] 本出願は、2020年11月20日に出願され、「タングステン含有膜除去のためのシステム及び方法(SYSTEMS AND METHODS FOR TUNGSTEN-CONTAINING FILM REMOVAL)」と題された米国特許出願第17/100,141号の利益及び優先権を主張し、その内容は、あらゆる目的のためにその全体が参照により本明細書に組み込まれる。
[0002] 本技術は、半導体プロセス及び機器に関する。より具体的には、本技術は、タングステン含有構造を選択的にエッチングすることに関する。
[0003] 集積回路は、基板表面上に複雑にパターニングされた材料層を生成するプロセスによって可能になる。基板上にパターニングされた材料を生成するには、露出した材料を除去するための制御された方法が必要である。化学エッチングは、様々な目的に使用されており、これには、フォトレジスト中のパターンを下層の中へ転写すること、層を薄くすること、又は表面上にすでに存在する特徴の横寸法を細くすることが含まれる。多くの場合、ある材料を他の材料よりも迅速にエッチングするプロセス、例えば、パターン転写プロセスを促進することが望ましい。このようなエッチングプロセスは、第1の材料に対して選択的であると言われている。材料、回路、及び処理には多様性があるため、様々な材料に対して選択性を有するエッチングプロセスが開発されてきた。
[0004] エッチングプロセスは、プロセスで使用される材料に基づいて、湿式又はドライ(wet or dry)と呼ばれることがある。例えば、湿式エッチングは、他の誘電体及び材料よりも一部の酸化物誘電体を優先的に除去しうる。しかしながら、湿式プロセスは、いくつかの制約されたトレンチに浸透することが困難であり、また時には残りの材料を変形させることがある。基板処理領域内に形成された局所プラズマ内に生成されたドライエッチングは、より制約されたトレンチに浸透することができ、繊細な残りの構造の変形を抑えることができる。しかしながら、局所プラズマは、それらが放電する際に、電気アークの生成を通して基板に損傷を与えることがある。
[0005] したがって、高品質デバイス及び構造体の製造に使用することができる、改善されたシステム及び方法が必要とされている。本技術は、これら必要性及びその他の必要性に対処する。
[0006] 例示的なエッチング方法は、プラズマ放出物を生成するために、半導体処理チャンバの遠隔プラズマ領域にハロゲン含有前駆体を流入させつつ、プラズマを衝突させることを含みうる。本方法は、処理領域内に収納される基板をプラズマ放出物と接触させることを含みうる。基板は、酸化タングステンの露出領域を画定しうる。接触させることは、オキシフッ化タングステン材料を生成しうる。本方法は、エッチャント前駆体を処理領域に流入させることを含みうる。本方法は、オキシフッ化タングステン材料をエッチャント前駆体と接触させることを含みうる。本方法は、オキシフッ化タングステン材料を除去することを含みうる。
[0007] いくつかの実施形態では、ハロゲン含有前駆体は、フッ素でありうるか、又はフッ素を含みうる。エッチャント前駆体は、塩素含有前駆体でありうるか、又は塩素含有前駆体を含みうる。ハロゲン含有前駆体は、三フッ化窒素でありうるか、又は三フッ化窒素を含みうる。本方法は、水素をハロゲン含有前駆体と共に流すことを含みうる。水素の流量は、ハロゲン含有前駆体の流量の少なくとも2倍でありうる。半導体処理チャンバは、エッチャント前駆体の流れている間、プラズマを含まない状態に維持されうる。本エッチング方法は、約150℃以上の温度で実行されうる。半導体処理チャンバ内の圧力は、ハロゲン含有前駆体を流している間、約15Torr以下に維持されうる。半導体処理チャンバ内の圧力は、エッチャント前駆体を流している間、約15Torr以上に維持されうる。基板は、酸化ケイ素の露出領域を含みうる。酸化タングステンの露出領域を画定するために、酸化ケイ素を通してトレンチが形成されうる。
[0008] 本技術のいくつかの実施形態は、エッチング方法を包含しうる。本方法は、半導体処理チャンバの遠隔プラズマ領域内にプラズマ放出物を生成するために、第1のハロゲン含有前駆体のプラズマを形成することを含みうる。本方法は、半導体処理チャンバの処理領域にプラズマ放出物を流入させることを含みうる。本方法は、処理領域内に収納される基板をプラズマ放出物と接触させることを含みうる。基板は、基板内に画定されたトレンチの基部においてタングステンの領域の上に重なる酸化タングステンの露出領域を含みうる。プラズマ放出物は、酸化タングステンをハロゲン化しうる。本方法は、第2のハロゲン含有前駆体を半導体処理チャンバの処理領域に流入させることを含みうる。本方法は、ハロゲン化された酸化タングステンを除去することを含みうる。
[0009] いくつかの実施形態では、第1のハロゲン含有前駆体は、フッ素でありうるか、又はフッ素を含みうる。第2のハロゲン含有前駆体は、三塩化ホウ素でありうるか、又は三塩化ホウ素を含みうる。本方法は、第2のハロゲン含有前駆体を流す前に、プラズマの形成を停止することを更に含みうる。第1のハロゲン含有前駆体は、三フッ化窒素でありうるか、又は三フッ化窒素を含みうる。本方法は、水素を第1のハロゲン含有前駆体と共に流すことを含みうる。水素の流量は、第1のハロゲン含有前駆体の流量の少なくとも2倍でありうる。半導体処理チャンバ内の圧力は、第1のハロゲン含有前駆体を流す間、約15Torr以下に維持されうる。半導体処理チャンバ内の圧力は、第2のハロゲン含有前駆体を流している間、約15Torr以上に維持されうる。
[0010] 本技術のいくつかの実施形態は、エッチング方法を包含しうる。本方法は、半導体処理チャンバの遠隔プラズマ領域内にプラズマ放出物を生成するために、フッ素含有前駆体のプラズマを形成することを含みうる。本方法は、半導体処理チャンバの処理領域にプラズマ放出物を流入させることを含みうる。本方法は、処理領域内に収納される基板をプラズマ放出物と接触させることを含みうる。基板は、タングステンの領域の上に重なる酸化タングステンの露出領域を含みうる。プラズマ放出物は、酸化タングステンをフッ素化しうる。本方法は、半導体処理チャンバの処理領域に塩素含有前駆体を流入させることを含みうる。本方法は、基板を塩素含有前駆体と接触させることを含みうる。本方法は、酸化タングステンを除去することを含みうる。
[0011] いくつかの実施形態では、本方法は、水素をフッ素含有前駆体と共に流すことを含みうる。水素の流量は、フッ素含有前駆体の流量の少なくとも2倍でありうる。半導体処理チャンバ内の圧力は、フッ素含有前駆体を流す間、約10Torr以下に維持されうる。半導体処理チャンバ内の圧力は、塩素含有前駆体を流す間、約20Torr以上に維持されうる。
[0012] このような技術は、従来のシステム及び技法よりも多数の利点を提供しうる。例えば、プロセスは、基板の特徴を保護しうるドライエッチングが実行できるようにしうる。加えて、プロセスは、基板上の他の露出された材料に対してタングステン含有膜を選択的に除去しうる。これらの実施形態及び他の実施形態は、それらの利点及び特徴の多くと共に、以下の説明及び添付の図面と併せてより詳細に説明される。
[0013] 開示された技術の性質及び利点は、本明細書の残りの部分と図面を参照することによって更に理解を深めることができる。
[0014] 本技術のいくつかの実施形態による例示的な処理システムの1つの実施形態の上面図を示す。 [0015] 本技術のいくつかの実施形態による例示的な処理チャンバの概略断面図を示す。 [0016] 本技術のいくつかの実施形態による、図2Aに示される処理チャンバの一部の詳細図を示す。 [0017] 本技術のいくつかの実施形態による例示的なシャワーヘッドの底面図を示す。 [0018] 本技術のいくつかの実施形態による方法の例示的な工程を示す。 [0019] A及びBは、本技術のいくつかの実施形態によるエッチングされる材料の概略断面図を示す。
[0020] いくつかの図面は、概略図として含まれている。図面は例示を目的としており、縮尺どおりであると明記されていない限り、縮尺どおりであるとみなしてはならないと理解すべきである。更に、概略図として、図面は、理解を助けるために提供されており、現実的な描写に比べてすべての態様又は情報を含まないことがあり、例示を目的として追加又は強調された材料を含むことがある。
[0021] 添付の図面では、類似の構成要素及び/又は特徴は、同じ参照符号を有しうる。更に、同じ種類の様々な構成要素は、類似の構成要素間を区別する文字により、参照符号に従って区別されうる。本明細書において第1の参照符号のみが使用される場合、その記載は、文字に関わりなく、同じ第1の参照符号を有する類似の構成要素のうちのいずれかに適用可能である。
[0022] 3D NAND構造が、形成されるセルの数が増大するにつれて、メモリホール(memory hole)、コンタクト開口部、及び他の構造のアスペクト比は、時に著しく、増加する。3D NAND処理中に、プレースホルダ層及び誘電体材料の積層体が、電極間誘電体層又はポリ間誘電体(「IPD」)層を形成しうる。これらのプレースホルダ層には、材料が完全に除去されて金属に置き換えられる前に構造を配置するために、多種多様な動作が実施されうる。IPD層は、例えば、ポリシリコンなどの導体層の上に重なり形成されることが多い。メモリホールが形成されると、開孔は、ポリシリコン又は他の材料基板にアクセスする前に、材料の交互の層の全てを通って延びうる。その後の処理は、コンタクトのための階段構造を形成することができ、また、プレースホルダ材料を横方向に押し出すこともできる。
[0023] 高アスペクト比メモリホールを生成するために、反応性イオンエッチング(「RIE」)工程が実行されうる。RIEプロセスは、エッチング中に側壁上に炭素ポリマー層を形成し、更なるエッチングから層を保護しうる、交互層の化学的除去と物理的除去との組合せを伴うことが多い。メモリ構造を形成した後に、例えば、マルチレベルコンタクトを生成するために実行されうる、誘電体材料の層を通るコンタクト開口部を形成するために、追加のRIEプロセスが実行されうる。プロセスは、コンタクトランディング又はパッドを露出させるために、誘電体を通してエッチングが行われうる。コンタクトランディング又はパッドは、金属でありうる。カーボンポリマー層を除去しうる後続のアッシングプロセス中に、又は後続のマスク除去中に、露出した金属が、コンタクト面に沿って少なくとも部分的に酸化しうる。このコンタクトは、メモリ構造のための電気コンタクトでありうるため、酸化した界面は、ランディングにおける抵抗を増加させ、デバイス性能に悪影響を及ぼしうる。
[0024] 従来の技術は、付加的な除去が付加的な損傷を生じうるので、これらの酸化領域を受け入れることが多かった。例えば、酸化物を除去するための追加のRIEエッチングは、RIEプロセスからのイオン衝撃に起因して、コンタクトランディング上の下位の金属を損傷しうる。追加のエッチングはまた、コンタクト開口部が形成される誘電体をエッチングし、これにより、開口部の臨界寸法を増加させることによってアスペクト比に影響を与える可能性がある。本技術は、金属酸化物材料を除去するために選択的エッチングプロセスを実行することによって、これらの制限を克服する。エッチングプロセスは、開口部が形成される誘電体材料に選択的であってもよく(may be selective to both the dielectric material)、また、下にある金属に選択的であってもよい。エッチングプロセスを用いて酸化物材料の化学的除去を実行することによって、金属スパッタリングも制限又は防止されうる。
[0025] 残りの開示は、開示された技術を利用して、特定の材料及び半導体構造をルーチン的に識別することになるが、システム、方法、及び材料は、本技術の態様から利益を得ることができるいくつかの他の構造に等しく適用可能であることが容易に理解されよう。したがって、本技術は、3D NANDプロセス又は材料単独での使用に限定されるものと見なすべきではない。更に、本技術の基礎を提供するために、例示的チャンバが記載されているが、本技術は、記載された工程を可能にしうる事実上どんな半導体処理チャンバにも適用可能であると理解されたい。
[0026] 図1は、実施形態による、堆積チャンバ、エッチングチャンバ、ベーキングチャンバ、及び硬化チャンバの処理システム100の1つの実施形態の上面図を示す。図において、一対の前方開口型統一ポッド102は、ロボットアーム104によって受け取られ、タンデムセクション109a~cに位置付けられた、基板処理チャンバ108a~fのうちの1つに配置される前に低圧保持領域106に配置される様々なサイズの基板を供給する。基板ウエハを保持領域106から基板処理チャンバ108a~fに搬送したり戻したりするために、第2のロボットアーム110が使用されうる。各基板処理チャンバ108a~fは、周期的層堆積、原子層堆積、化学気相堆積、物理的気相堆積、エッチング、前洗浄、ガス抜き、配向、及び他の基板プロセスに加えて、本明細書に記載されるドライエッチングプロセスを含むいくつかの基板処理工程を実行するために装備することができる。
[0027] 基板処理チャンバ108a~fは、基板ウエハ上で誘電体膜を堆積し、アニーリングし、硬化し、及び/又はエッチングするための1つ又は複数のシステム構成要素を含みうる。1つの構成では、2対の処理チャンバ(例えば、108c~d及び108e~f)が、誘電体材料を基板上に堆積させるために使用され、第3の対の処理チャンバ(例えば、108a~b)が、堆積された誘電体をエッチングするために使用されうる。別の構成では、3対全てのチャンバ(例えば108a~f)が、基板上の誘電体膜をエッチングするよう構成されうる。記載されるプロセスのうちの任意の1つ又は複数は、種々の実施形態に示している製造システムから分離された1つ又は複数のチャンバ内で実行されうる。システム100によって、誘電体膜のための堆積チャンバ、エッチングチャンバ、アニーリングチャンバ、及び硬化チャンバという更なる構成が想定されると認識されよう。
[0028] 図2Aは、処理チャンバ内部で区切られたプラズマ生成領域を有する例示的な処理チャンバシステム200の断面図を示す。膜(例えば、窒化チタン、窒化タンタル、タングステン、シリコン、ポリシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、酸炭化ケイ素等)のエッチング中に、プロセスガスが、ガス注入アセンブリ205を通って第1のプラズマ領域215に流入しうる。遠隔プラズマシステム201は、オプションで、システムに含まれ、次いでガス注入アセンブリ205を通って進む第1のガスを処理しうる。注入アセンブリ205は、2つ以上の別個のガス供給チャネルを含み、含まれる場合には、第2のチャネル(図示せず)は、RPS201をバイパスしうる。
[0029] 冷却プレート203、面板217、イオンサプレッサ223、シャワーヘッド225、及び基板255が配置されるペデスタル265又は基板支持体が示されており、実施形態によれば、各々が含まれうる。ペデスタル265は、基板の温度を制御するために熱交換流体が流れる熱交換チャネルを有しうる。熱交換チャネルは、処理動作中に基板又はウエハを加熱及び/又は冷却するように動作しうる。アルミニウム、セラミック、又はこれらの組み合わせを含みうるペデスタル265のウエハ支持プラッタはまた、埋め込み抵抗加熱素子を使用して、最大約100℃から約1100℃以上といった比較的高温に達するように、抵抗加熱されてもよい。
[0030] 面板217は、上部が狭く、底部に向けて拡張して広くなっているピラミッド形、円錐形、又は別の類似構造でありうる。面板217は、図示されたように、更に平坦であってもよく、プロセスガスを分配するために使用される複数の貫通チャネルを含んでもよい。プラズマ生成ガス及び/又はプラズマ励起種は、RPS201の使用に応じて、第1のプラズマ領域215内により均一に送られるよう、図2Bに示す面板217の複数の孔を通過しうる。
[0031] 例示的な構成は、ガス/種が面板217の孔を通って、第1のプラズマ領域215に流入するように、ガス注入アセンブリ205が、面板217によって第1のプラズマ領域215から区切られたガス供給領域258の中へ開かれていることを含みうる。第1のプラズマ領域215から、供給領域258、ガス注入アセンブリ205、及び流体供給システム210へのプラズマの大量逆流を防止するために、構造的及び動作的特徴が選択されうる。面板217(又はチャンバの導電性上部)及びシャワーヘッド225は、それらの特徴の間に絶縁リング220が配置されているように示されており、それにより、シャワーヘッド225及び/又はイオンサプレッサ223に対する面板217にAC電位を印加することが可能となる。絶縁リング220は、面板217とシャワーヘッド225及び/又はイオンサプレッサ223との間に配置することができ、第1のプラズマ領域に容量結合プラズマを形成することができる。加えて、バッフル(図示せず)が、第1プラズマ領域215内に配置されうるか、又は別の方法でガス注入アセンブリ205に連結されうる。これにより、ガス注入アセンブリ205を通ってこの領域に流入する流体の流れに影響が与えられる。
[0032] イオンサプレッサ223は、構造体全体にわたって複数の開孔を画定するプレート又はその他の形状寸法を含みうる。この複数の開孔は、非荷電中性種又はラジカル種がイオンサプレッサ223を通過し、サプレッサとシャワーヘッドとの間の活性化されたガス供給領域内に進入できるようにしつつ、第1のプラズマ領域215から出るイオン帯電種の移動を抑制するように構成されている。実施形態では、イオンサプレッサ223は、様々な開孔構成を有する孔の開いたプレートを備えうる。これらの非荷電種には、開孔を介して反応性がより低いキャリアガスと共に搬送される非常に反応性の高い種が含まれうる。上述したように、孔を介したイオン種の移動を減らすことができ、場合によっては、完全に抑制されうる。イオンサプレッサ223を通過するイオン種の量を制御することにより、有利には、下位のウエハ基板と接触させられる混合ガスに対する制御を向上させることができ、それにより、混合ガスの堆積特性及び/又はエッチング特性の制御を向上させることができる。例えば、混合ガスのイオン濃度の調整は、そのエッチング選択性、例えば、SiNx:SiOxエッチング比、Si:SiOxエッチング比などを著しく変更することができる。堆積が実行される代替的な実施形態では、誘電体材料に対する共形型から流動可能型の堆積のバランスをシフトさせることもできる。
[0033] イオンサプレッサ223の複数の開孔は、イオンサプレッサ223を通る活性ガス(すなわち、イオン種、ラジカル種、及び/又は中性種)の通過を制御するように構成されうる。例えば、イオンサプレッサ223を通過する活性ガスの中のイオン帯電種の流量を減らすように、孔のアスペクト比(すなわち、孔の長さに対する直径)及び/又は孔の形状寸法が制御されうる。イオンサプレッサ223の孔は、プラズマ励起領域215に面しているテーパ部と、シャワーヘッド225に面している円筒部とを含みうる。円筒部は、シャワーヘッド225へと通過するイオン種の流量を制御するように成形及び寸法形成されうる。イオンサプレッサ223を通るイオン種の流量を制御する追加手段として、調節可能な電気的バイアスをイオンサプレッサ223に印加してもよい。
[0034] イオンサプレッサ223は、プラズマ生成領域から基板まで移動するイオン帯電種の量を減らすか、又はなくすように機能しうる。非荷電中性種及びラジカル種は、基板と反応するように、更にイオンサプレッサの開口部を通過しうる。基板周囲の反応領域のイオン帯電種の完全な除去は、実施形態によっては実行されない場合があることに留意されたい。特定の場合では、イオン種は、エッチング及び/又は堆積プロセスを行うために基板に到達することが意図されている。このような場合、イオンサプレッサは、一定の水準で処理を支援する反応領域内のイオン種の濃度の制御に役立ちうる。
[0035] シャワーヘッド225は、イオンサプレッサ223との組み合わせにより、第1のプラズマ領域215内に存在するプラズマが、基板処理領域233内のガスの直接励起を避けることを可能にしうるが、更に励起種がチャンバプラズマ領域215から基板処理領域233内へ移動することを可能する。このようにして、チャンバは、エッチングされている基板255にプラズマが接触することを防止するように構成されうる。これにより、有利には、基板上にパターニングされた様々な複雑な構造及び膜が保護される。これらの複雑な構造及び膜は、生成されたプラズマが直接接触すると、損傷、位置ずれ、又は歪みが生じることがある。更に、プラズマが基板に接触するか、又は基板レベルに接近することが許容された場合、酸化物種がエッチングを行う速度が上昇しうる。したがって、材料の露出した領域が酸化物である場合、プラズマを基板から離れた位置に留めることにより、この材料を更に保護することができる。
[0036] 処理システムは、処理チャンバに電気的に結合された電源240を更に含みうる。電源240は、第1のプラズマ領域215又は処理領域233でプラズマを生成するために、面板217、イオンサプレッサ223、シャワーヘッド225、及び/又はペデスタル265に電力を供給する。電源は、実行されるプロセスに応じて、チャンバに調節可能な量の電力を供給するように構成されうる。このような構成により、実行されるプロセスにおいて調節可能なプラズマを使用することが可能となりうる。オン又はオフ機能が提示されることが多い遠隔プラズマユニットとは異なり、調節可能なプラズマは、特定の量の電力をプラズマ領域215に供給するように構成されうる。この結果、特定のプラズマ特性の開発を可能にすることができ、これにより、特定の方法で前駆体を分離し、これらの前駆体によって生成されたエッチングプロファイルを強化することができる。
[0037] プラズマは、シャワーヘッド225の上方のチャンバプラズマ領域215又はシャワーヘッド225の下方の基板処理領域233のいずれかで点火されうる。例えば、フッ素含有前駆体又はその他の前駆体の流入からラジカル前駆体を生成するために、チャンバプラズマ領域215の中にプラズマが存在しうる。通常は高周波(「RF」)範囲内の交流電圧が、面板217などの処理チャンバの導電性上部とシャワーヘッド225及び/又はイオンサプレッサ223との間に印加されて、堆積中にチャンバプラズマ領域215内でプラズマが点火される。RF電源は、13.56MHzの高RF周波数を発生させうるが、単独で又は13.56MHzの周波数と組み合わせて、他の周波数を発生させてもよい。
[0038] 図2Bは、面板217を通した処理ガス分配に影響を与える特徴の詳細図253を示す。図2A及び図2Bに示すように、面板217、冷却プレート203、及びガス注入アセンブリ205が交差することにより、ガス供給領域258が画定される。ガス供給領域258には、ガス注入アセンブリ205からプロセスガスが供給されうる。ガスは、ガス供給領域258に充満して、面板217の開孔259を通って、第1のプラズマ領域215まで流れうる。開孔259は、流れを実質的に一方向へ導くように構成されうる。これにより、プロセスガスは、処理領域233に流入しうるが、面板217を横断した後、ガス供給領域258内に逆流することが部分的又は完全に防止されうる。
[0039] 処理チャンバセクション200で使用されるシャワーヘッド225などのガス供給アセンブリは、デュアルチャネルシャワーヘッドとも称されることがあり、図3に記載される実施形態で更に詳しく示される。デュアルチャネルシャワーヘッドは、処理領域233の外のエッチャントの分離を可能にし、処理領域内に送る前に、チャンバ部品及び互いの限られた相互作用をもたらすエッチング処理を提供しうる。
[0040] シャワーヘッド225は、上方プレート214と下方プレート216とを含みうる。プレートを互いに連結させて、プレート間の空間218を画定することができる。プレートを連結することにより、上方プレートと下方プレートを通る第1の流体チャネル219と、下方プレート216を通る第2の流体チャネル221とを設けることができる。形成されたチャネルは、第2の流体チャネル221のみを介して空間218から下部プレート216を通る流体アクセスを提供するように構成され、第1の流体チャネル219は、プレートと第2の流体チャネル221との間の空間218から流体的に分離されうる。空間218は、シャワーヘッド225の側面を通して流体的にアクセス可能でありうる。
[0041] 図3は、実施形態による、処理チャンバで使用するためのシャワーヘッド325の底面図である。シャワーヘッド325は、図2Aに示されたシャワーヘッド225に対応しうる。第1の流体チャネル219の図を示す貫通孔365は、シャワーヘッド225を通る前駆体の流れを制御して影響を与えるための複数の形状及び構成を有しうる。第2の流体チャネル221の図を示す小さな孔375は、シャワーヘッドの表面上に、貫通孔365の間でさえもほぼ均等に配分される。他の構成に比べて、これらの小さな孔375は、前駆体がシャワーヘッドから流出する際に、より均一な混合をもたらす助けとなりうる。
[0042] 前述のチャンバは、エッチング方法を含む例示的な方法を実行する際に使用されうる。図4を参照すると、本技術の実施形態による方法400における例示的な工程が示されている。方法400は、方法の開始前に、フロントエンド処理、堆積、エッチング、研磨、洗浄、又は説明された動作の前に実行されうる任意の他の動作を含む1つ又は複数の動作を含みうる。本方法は、本技術による方法のいくつかの実施形態に具体的に関連付けられても関連付けられなくてもよい、いくつかのオプションの工程を含みうる。例えば、実行されるより広い範囲のプロセスを提供するために工程の多くが説明されているが、技術にとって重要ではなく、又は以下で更に説明されるように代替の方法によって実行されてもよい。方法400は、図5A~5Bに概略的に示される工程を説明しうる。その例示は、方法400の工程と併せて説明されることになる。図は、部分的な概略図のみを示し、基板は、図に示されるような様々な特性及び態様を有する任意の数の追加の材料及び特徴を含みうると理解されたい。
[0043] 方法400は、半導体構造を特定の製造工程に発展させるためのオプションの工程を含んでも含まなくてもよい。方法400は、酸化物除去工程が実行されうる例示的な構造を含む、任意の数の半導体構造又は基板505(図5Aに示される)上で実行されうると理解されたい。例示的な半導体構造は、トレンチ、ビア、又は1つ又は複数の露出された材料を含みうる他の凹状フィーチャを含みうる。例えば、例示的な基板は、シリコン又は他のいくつかの半導体基板材料、並びに、凹部、トレンチ、ビア、又は分離構造が形成されうる層間誘電体材料を含みうる。エッチングプロセス中の任意の時点で露出される材料は、金属材料、1つ又は複数の誘電体材料、コンタクト材料、トランジスタ材料、又は半導体プロセスで使用されうる任意の他の材料でありうるか又はこれらを含みうる。
[0044] 例えば、図5Aは、メモリセルセクションから横方向にオフセットされた3D NAND構造のコンタクト領域(contact region)を示しうる。基板505は、基板上の1つ又は複数の他の構造の上に重なる誘電体材料を示しうる。図示された構造の下に任意の数の材料が形成されうることを理解されたい。いくつかの実施形態では、誘電体材料は、酸化ケイ素、又はパターニングが起こりうる任意の他の酸化物若しくは窒化物でありうるか又はこれらを含みうる。コンタクト開口部510は、基板505を通して画定され、いくつかの実施形態では、反応性イオンエッチング又は他のパターニングプロセスによって形成されていてもよい。単一の開口部510のみが示されているが、コンタクト階段構造又は誘電体を通る他のコンタクトパターンを生成するような、任意の数の開口部が形成され売ると理解すべきである。開口部は、金属又は導電性材料515の延長部のレベルまで形成されうる。この延長部は、セル電気コンタクトが形成されうる階段構造を形成するメモリワード線の延長部でありうる。導電体材料515は、任意の数の金属又は導電体材料であり、タングステン、コバルト、銅、又は導電性結合のための任意の他の材料でありうる。先ほど説明したように、1つ又は複数の以前の工程により、ある量の酸化物520が、導電性材料515のランディングの上に重なり形成されうる。導電性材料上に残される場合、その後のコンタクト金属堆積は、接触金属とワード線延長部との間の抵抗を増加させ、デバイス性能に影響を及ぼす可能性がある。
[0045] 留意すべき構造は限定を意図するものではなく、タングステン含有材料又は他の金属含有材料を含む様々な他の半導体構造のいずれかが同様に包含されると理解されたい。他の例示的な構造は、半導体製造において一般的である2次元及び3次元構造を含みうる。これらの構造では、(本技術が、シリコン含有材料などの他の露出された材料、及び他の場所で論じられた他の材料のいずれかに対してタングステン含有材料を選択的に除去しうるように、)酸化タングステンなどのタングステン含有材料が1つ又は複数の他の材料に対して除去される。加えて、高アスペクト比構造は、本技術から利益を得ることができるが、本技術は、より低いアスペクト比及び任意の他の構造に対しても等しく適用可能でありうる。
[0046] 例えば、本技術による材料の層は、構造の任意のアスペクト比又は高さ対幅の比によって特徴付けられうるが、いくつかの実施形態では、材料がより大きなアスペクト比によって特徴付けられ、従来の技術又は方法論を利用して十分なエッチングができない場合がある。例えば、いくつかの実施形態では、例示的構造の任意の層のアスペクト比は、約10:1以上、約20:1以上、約30:1以上、約40:1以上、約50:1以上、またはそれを上回りうる。更に、各層は、20.5nm、1.5nmなどの、明示された数のいずれかの任意の一部を含む、約100nm以下、約80nm以下、約60nm以下、約50nm以下、約40nm以下、約30nm以下、約20nm以下、約10nm以下、約5nm以下、約1nm以下、又はそれを下回る縮小した幅又は厚さによって特徴付けられうる。この高いアスペクト比と最小限の厚さとの組み合わせは、多くの従来のエッチング工程を妨害しうるか、又は制限された幅を通って垂直又は水平距離に沿って、層を除去するために実質的により長いエッチング時間を必要としうる。更に、他の露出された層への損傷又はこれらの他の層の除去は、先に説明されたように、従来の技術で起こりうる。
[0047] 方法400は、実施形態において露出されたタングステン含有材料を除去するために実行されうるが、本技術の実施形態において、任意の数の酸化物又はタングステン含有材料が、任意の数の構造において除去されうる。本方法は、酸化タングステンの除去のための特定の工程を含みうる。残りの開示は、酸化タングステンについてルーチン的に検討するが、他の金属酸化物も、本技術のいくつかの実施形態によって同様に処理されうると理解されたい。いくつかの実施形態では、本方法は、誘電体材料、例えば酸化ケイ素などの他の露出された材料、及びタングステン又は構造に使用される他のいくつかの導電性材料などの下にあるコンタクト材料に対するタングステンのエッチングを制御しうる、複数工程のエッチングプロセスを含みうる。
[0048] 方法400は、工程405において、第1のハロゲン含有前駆体を含むハロゲン含有前駆体を、記載された基板又は何らかの他の基板を収納する半導体処理チャンバに流入させることを含みうる。ハロゲン含有前駆体は、上述の領域215などの処理チャンバの遠隔プラズマ領域を通って流されうる。プラズマは、プラズマ放出物を生成するために、ハロゲン含有前駆体から形成されうる。基板レベルのプラズマが生成されうるが、いくつかの実施形態では、プラズマは遠隔プラズマであり、基板レベルのプラズマに起因して発生し得るイオン衝撃から露出された基板材料を保護しうる。工程410において、ハロゲン含有前駆体のプラズマ放出物は、基板処理領域に供給され、工程415において、放出物は、露出されたタングステン含有材料を含む半導体基板に接触しうる。この接触により、基板上の露出された酸化タングステンを変換することなどによって、オキシフッ化タングステン又は酸化ハロゲン化タングステン(tungsten oxide halide)材料などのフッ素化材料が生成されうる。いくつかの実施形態では、フッ素化の後に、プラズマが消され、チャンバがパージされうる。
[0049] フッ素化工程に続いて、工程420において、エッチャント前駆体が処理領域に流入されうる。いくつかの実施形態では、エッチャント前駆体は、第2のハロゲン含有前駆体であり、第1のハロゲン含有前駆体と同じ又は異なるハロゲンを含みうる。エッチャント前駆体は、処理条件下で揮発性であり、基板から発生しうるタングステン及び/又は酸素副生成物を生成するために、酸化タングステン又は他の酸化物材料と相互作用しうる。したがって、エッチャント前駆体は、工程425においてフッ素化材料と接触し、下にある金属から酸化タングステン材料をエッチング又は除去しうる。図5Bに示されるように、酸化物材料の除去は、形成された開孔又はトレンチの底部におけるコンタクト材料のコンタクト面を露出させうる。
[0050] いくつかの実施形態では、第2の前駆体は、プラズマが強化されなくてもよい。いくつかの実施形態では、半導体処理チャンバは、第2のハロゲン前駆体を利用して供給及び工程中に、プラズマを含まない(plasma-free)状態に維持されうる。特定の前駆体を利用し、特定のプロセス条件内でエッチングを実行することによって、プラズマを含まない状態の除去が実行されうる。この除去は、ドライエッチングであってもよい。従って、本技術の態様による技術は、狭いフィーチャ(feature)、並びに高アスペクト比のフィーチャ、並びに他の方法では湿式エッチング又は反応性イオンエッチングに不適当でありうる薄い寸法から酸化タングステンを除去するために実行されうる。
[0051] 2段階工程の各々の間の前駆体は、ハロゲン含有前駆体を含み、いくつかの実施形態では、フッ素又は塩素のうちの1つ又は複数を含みうる。第1の前駆体として利用されうるいくつかの例示的な前駆体は、フッ化水素、三フッ化窒素、又は任意の有機フッ化物を含むハロゲン化物を含みうる。前駆体はまた、様々な組み合わせで一緒に流されてもよい。いくつかの実施形態では、三フッ化窒素、又はいくつかの他のフッ素含有前駆体は、第1の工程において酸化タングステンのフッ素化表面を生成するように、水素及びプラズマで強化された遠隔プラズマ領域に供給されうる。第2のハロゲン前駆体として利用されるエッチャント前駆体は、三塩化ホウ素、又は任意の他の塩素材料を含むような塩素含有前駆体であっても又はこれを含んでもよい。現在の技術の処理条件では、三塩化ホウ素は、フッ素化酸化タングステンを除去しうる揮発性副生成物の形成を促進しうる。例えば、いくつかの副生成物は、オキシ塩化タングステン又は五塩化タングステンを含み、これは、処理温度では揮発性であり、基板からの材料の除去を容易にしうる。
[0052] 処理条件は、本技術によれば、エッチングに衝撃を与え、エッチングを容易にしうる。エッチング反応は、第2のハロゲン前駆体とフッ素化酸化物材料との間の第2の反応のためのハロゲンの熱解離に基づいて進行しうるので、温度は、解離を開始するために、特定のハロゲン又は前駆体に少なくとも部分的に依存しうる。例えば、温度が約100℃以上又は約150℃以上に上昇するにつれて、エッチングが発生又は上昇し始め、前駆体の解離、及び/又はオキシフッ化タングステンとの反応の活性化を示しうる。温度が上昇し続けるにつれて、フッ素化酸化タングステンとの反応と同様に解離が更に促進されうる。
[0053] したがって、本技術のいくつかの実施形態では、エッチング方法は、基板、ペデスタル、及び/又は約100℃以上のチャンバ温度で実行されてもよく、約150℃以上、約200℃以上、約250℃以上、約300℃以上、約350℃以上、約400℃以上、約450℃以上、又はこれを上回る温度で実行されてもよい。温度はまた、これらの範囲内の任意の温度で、これらの範囲によって包含されるより小さい範囲内で、又はこれらの範囲のいずれかの間で維持されてもよい。いくつかの実施形態では、本方法は、いくつかの生成されたフィーチャを有しうる基板上で実行され、熱収支が発生しうる。したがって、いくつかの実施形態では、方法は、約800℃以下の温度で実施されてもよく、約750℃以下、約700℃以下、約650℃以下、約600℃以下、約550℃以下、約500℃以下、又はこれを下回る温度で実施されてもよい。
[0054] チャンバ内の圧力はまた、実行される工程に影響を及ぼし、同様に、どの温度でハロゲンが遷移金属から解離しうるかに影響を及ぼしうる。プラズマ強化前駆体に基づきうるフッ素化を容易にするために、処理圧力は、第2の除去工程における圧力よりも低くてもよい。第1のハロゲン前駆体の使用中など、第1の工程においてより低い圧力を維持することによって、基板表面での相互作用の増加が促進されうる。方法の第1の部分におけるより低い圧力は、原子間の平均自由経路を増加させ、膜表面におけるエネルギー及び相互作用を増加させうる。第2のハロゲン前駆体の使用中など、方法の第2の部分においてより高い圧力を利用することによって、エッチング速度が増加しうる。したがって、いくつかの実施形態では、圧力は、工程405~415の間など、エッチングの第1の部分の間、約20Torr未満に維持され、圧力は、約15Torr以下、約10Torr以下、約9Torr以下、約8Torr以下、約7Torr以下、約6Torr以下、約5Torr以下、約4Torr以下、約3Torr以下、約2Torr以下、約1Torr以下、約0.5Torr以下、又はこれ以下に維持されうる。次いで、圧力は、工程420~425の間など、方法の第2の部分の間に増加され、ここで、圧力は、約1Torr以上の圧力に維持され、約5Torr以上、約10Torr以上、約15Torr以上、約20Torr以上、約25Torr以上、約30Torr以上、約35Torr以上、約40Torr以上、約45Torr以上、約50Torr以上、約75Torr以上、約100Torr以上、又はこれ以上に維持され、これは大気圧まで拡張されうるが、いくつかの実施形態では真空条件が工程を容易にしうる。圧力はまた、これらの範囲内、これらの範囲によって包含されるより小さい範囲内、又はこれらの範囲のいずれかの間の任意の圧力に維持されうる。
[0055] 前述のように、いくつかの実施形態では、水素は、三フッ化窒素、又は第1のハロゲン前駆体と共に供給されうる。水素を含むことによって、フッ素からの材料のエッチング速度は、フッ素化中に低減又は抑制されうる。開孔又はトレンチが形成されうる誘電体材料を保護し、かつ酸化物材料の下にある金属を保護するために、水素は、第1のハロゲン含有前駆体の流量よりも大きい流量で供給されうる。例えば、第1のハロゲン含有前駆体が三フッ化窒素でありうるいくつかの実施形態では、水素対三フッ化窒素の流量比は、約1.5:1以上であり、水素対三フッ化窒素の流量比は、約2.0:1以上、約2.5:1以上、約3.0:1以上、約3.5:1以上、約4.0:1以上、約4.5:1以上、約5.0:1以上、約10.0:1以上、又はこれを上回りうる。水素ラジカルは、フッ素がトレンチ又はフィーチャの基部で酸化物材料と相互作用する間に、他の露出された材料を安定化処理するのを助けうる。
[0056] エッチングプロセスに更なる制御を加えると、ハロゲン含有前駆体は、いくつかの実施形態ではパルス化され、エッチングプロセス全体にわたって、連続的に又は一連のパルスでのいずれかで供給されが、これは、一貫性があっても経時的に変化してもよい。パルス式の供給は、ハロゲン含有前駆体が流される第1の期間、及びハロゲン含有前駆体が一時停止又は停止される第2の期間によって特徴付けられうる。任意のパルス状動作のための期間は、いずれかの期間がより長くなる状態で、互いに類似していても異なっていてもよい。実施形態では、前駆体の期間又は連続フローのいずれかは、約1秒以上の期間にわたって実施され、約2秒以上、約3秒以上、約4秒以上、約5秒以上、約6秒以上、約7秒以上、約8秒以上、約9秒以上、約10秒以上、約11秒以上、約12秒以上、約13秒以上、約14秒以上、約15秒以上、約20秒以上、約30秒以上、約45秒以上、約60秒以上、又はこれより長くてもよい。時間はまた、これらの範囲のいずれかによって包含される任意のより小さい範囲であってもよい。いくつかの実施形態では、前駆体の供給がより長い期間にわたって行われる際には、エッチング速度が増加しうる。
[0057] 本技術の実施形態による工程を実行することによって、酸化タングステン又は他の酸化物材料が、他の酸化物を含む他の材料に対して選択的にエッチングされうる。例えば、本技術は、酸化タングステンが、金属、酸化ケイ素を含むケイ素含有材料を含む誘電体、又は他の材料の露出領域に対して選択的にエッチングされうる。本技術の実施形態は、酸化ケイ素、窒化ケイ素、タングステン、又は他の材料のいずれかに対して、少なくとも約100:1の速度で酸化タングステン又は他の金属酸化物をエッチングし、酸化ケイ素、窒化ケイ素、タングステン、又は前述の他の材料のいずれかに対して、約200:1以上、約300:1以上、約400:1以上、約500:1以上、約1000:1以上、又はこれを上回る選択性で酸化タングステンをエッチングしうる。例えば、本技術のいくつかの実施形態により実行されるエッチングは、実質的又は本質的に酸化ケイ素、窒化ケイ素、タングステン、又は他の材料を維持しつつ、酸化タングステンをエッチングしうる。
[0058] 前述の方法は、いくつかの他の露出された材料に対して、酸化タングステン又は他の酸化物材料の除去を可能にしうる。前述のような多重前駆体エッチャントプロセスを利用することによって、酸化タングステンの改良されたエッチングが実行され、これにより、従来の技術よりも選択性を増加させるだけではなく、同時に小さなピッチフィーチャにおけるエッチングアクセスを改善しうる。
[0059] 上記の記載では、説明を目的として、本技術の様々な実施形態の理解を促すために、数々の詳細が提示されている。しかしながら、当業者には明らかなように、特定の実施形態は、これらの詳細のうちのいくつかがなくても、又は追加の詳細を伴って実施されうる。
[0060] いくつかの実施形態を開示したが、当業者は、実施形態の主旨から逸脱することなく、様々な修正例、代替構造物、及び均等物が使用されうることを認識されよう。更に、いくつかの周知の処理及び要素は、本技術を不必要に不明瞭にすることを避けるために説明されていない。したがって、上記の説明は、本技術の範囲を限定するものと見なすべきではない。加えて、方法又はプロセスは、順次又はステップで説明されうるが、工程は、同時に、又は列挙されたものとは異なる順序で実行されうると理解されたい。
[0061] 値の範囲が提供されている場合、文脈上そうでないと明示されていない限り、当然ながら、その範囲の上限値と下限値との間の各介在値は、下限値の最も小さい単位まで具体的に開示されている。記載された範囲の任意の記載値又は記載されていない介在値の間の任意の狭い範囲、そしてその記載範囲のその他任意の記載された値又は介在する値も包含される。これら小さい範囲の上限及び下限は、その範囲に個々に含まれ、又はその範囲から除外される場合があり、小さい範囲に限界値のいずれかが含まれる、どちらも含まれない、又は両方が含まれる各範囲もまた、記載された範囲における明確に除外される任意の限界値を条件として、この技術範囲に包含される。記載された範囲が、限界値の一方又は両方を含む場合、これらの含められた限界値の一方又は両方を除外する範囲も含まれる。
[0062] 本明細書及び添付の特許請求の範囲で使用される単数形「a」、「an」、及び「the」は、文脈が他のことを明らかに示していない限り、複数の参照対象を含む。したがって、例えば、「ある前駆体(a precursor)」への言及は、複数のこのような前駆体を含み、「その層(the layer)」への言及は、当業者に知られている1つ又は複数の層及びその均等物への言及を含み、その他も同様である。
[0063] また、「備える(comprise(s))」、「備えている(comprising)」、「含有する(contain(s))」、「含有している(containing)」、「含む(include(s))」、及び「含んでいる(including)」という用語は、本明細書及び特許請求の範囲で使用される場合、記載された特徴、整数、構成要素、又はステップの存在を特定することを意図しているが、1つ又は複数のその他の特徴、整数、構成要素、工程、動作、又はグループの存在又は追加を除外するものではない。

Claims (20)

  1. プラズマ放出物を生成するために、半導体処理チャンバの遠隔プラズマ領域にハロゲン含有前駆体を流入させつつ、プラズマを衝突させることと、
    処理領域内に収納される基板を前記プラズマ放出物と接触させることであって、前記基板が酸化タングステンの露出領域を画定し、前記接触させることがオキシフッ化タングステン材料を生成する、処理領域内に収納される基板を前記プラズマ放出物と接触させることと、
    エッチャント前駆体を前記処理領域に流入させることと、
    前記オキシフッ化タングステン材料を前記エッチャント前駆体と接触させることと、
    前記オキシフッ化タングステン材料を除去することと
    を含む、エッチング方法。
  2. 前記ハロゲン含有前駆体がフッ素を含み、前記エッチャント前駆体が塩素含有前駆体を含む、請求項1に記載のエッチング方法。
  3. 前記ハロゲン含有前駆体が三フッ化窒素を含み、前記方法が、
    水素を前記ハロゲン含有前駆体と共に流すこと
    を更に含む、請求項2に記載のエッチング方法。
  4. 前記水素の流量が、前記ハロゲン含有前駆体の流量の少なくとも2倍である、請求項3に記載のエッチング方法。
  5. 前記半導体処理チャンバが、前記エッチャント前駆体の流れている間、プラズマを含まない状態に維持される、請求項1に記載のエッチング方法。
  6. 前記エッチング方法は、約150℃以上の温度で実行される、請求項1に記載のエッチング方法。
  7. 前記ハロゲン含有前駆体を流している間、前記半導体処理チャンバ内の圧力が約15Torr以下に維持される、請求項1に記載のエッチング方法。
  8. 前記エッチャント前駆体を流している間、前記半導体処理チャンバ内の圧力が約15Torr以上に維持される、請求項7に記載のエッチング方法。
  9. 前記基板が、酸化ケイ素の露出領域を更に含みうる、請求項1に記載のエッチング方法。
  10. 前記酸化タングステンの露出領域を画定するために、前記酸化ケイ素を通してトレンチが形成される、請求項9に記載のエッチング方法。
  11. 半導体処理チャンバの遠隔プラズマ領域においてプラズマ放出物を生成するために第1のハロゲン含有前駆体のプラズマを形成することと、
    前記プラズマ放出物を前記半導体処理チャンバの処理領域に流入させることと、
    前記処理領域内に収納される基板を前記プラズマ放出物と接触させることであって、前記基板が、前記基板内に画定されたトレンチの基部においてタングステンの領域の上に重なる酸化タングステンの露出領域を含み、前記プラズマ放出物が前記酸化タングステンをハロゲン化する、処理領域内に収納される基板を前記プラズマ放出物と接触させることと、
    第2のハロゲン含有前駆体を前記半導体処理チャンバの前記処理領域に流入させることと、
    ハロゲン化された前記酸化タングステンを除去することと
    を含む、エッチング方法。
  12. 前記第1のハロゲン含有前駆体がフッ素を含み、前記第2のハロゲン含有前駆体が三塩化ホウ素を含む、請求項11に記載のエッチング方法。
  13. 前記第2のハロゲン含有前駆体を流す前に、プラズマの形成を停止することを更に含む、請求項11に記載のエッチング方法。
  14. 前記第1のハロゲン含有前駆体が三フッ化窒素を含み、前記方法が、
    水素を前記第1のハロゲン含有前駆体と共に流すこと
    を更に含む、請求項11に記載のエッチング方法。
  15. 前記水素の流量が、前記第1のハロゲン含有前駆体の流量の少なくとも2倍である、請求項14に記載のエッチング方法。
  16. 前記第1のハロゲン含有前駆体を流している間、前記半導体処理チャンバ内の圧力が約15Torr以下に維持される、請求項11に記載のエッチング方法。
  17. 前記第2のハロゲン含有前駆体を流している間、前記半導体処理チャンバ内の圧力が約15Torr以上に維持される、請求項16に記載のエッチング方法。
  18. 半導体処理チャンバの遠隔プラズマ領域においてプラズマ放出物を生成するためにフッ素含有前駆体のプラズマを形成することと、
    前記プラズマ放出物を前記半導体処理チャンバの処理領域に流入させることと、
    前記処理領域内に収納される基板を前記プラズマ放出物と接触させることであって、前記基板が、タングステンの領域の上に重なる酸化タングステンの露出領域を含み、前記プラズマ放出物が、前記酸化タングステンをフッ素化する、前記処理領域内に収納される基板を前記プラズマ放出物と接触させることと、
    前記半導体処理チャンバの前記処理領域に塩素含有前駆体を流入させることと、
    前記基板を前記塩素含有前駆体と接触させることと、
    前記酸化タングステンを除去することと
    を含む、エッチング方法。
  19. 水素を前記フッ素含有前駆体と共に流すこと
    を更に含み、前記水素の流量が、前記フッ素含有前駆体の流量の少なくとも2倍である、請求項18に記載のエッチング方法。
  20. 前記フッ素含有前駆体を流している間、前記半導体処理チャンバ内の圧力が約10Torr以下に維持され、前記塩素含有前駆体を流している間、前記半導体処理チャンバ内の圧力が約20Torr以上に維持される、請求項18に記載のエッチング方法。
JP2022564260A 2020-11-20 2021-11-17 タングステン含有膜除去のためのシステム及び方法 Active JP7503650B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/100,141 2020-11-20
US17/100,141 US11488835B2 (en) 2020-11-20 2020-11-20 Systems and methods for tungsten-containing film removal
PCT/US2021/059712 WO2022109016A1 (en) 2020-11-20 2021-11-17 Systems and methods for tungsten-containing film removal

Publications (2)

Publication Number Publication Date
JP2023530814A true JP2023530814A (ja) 2023-07-20
JP7503650B2 JP7503650B2 (ja) 2024-06-20

Family

ID=81657327

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022564260A Active JP7503650B2 (ja) 2020-11-20 2021-11-17 タングステン含有膜除去のためのシステム及び方法

Country Status (7)

Country Link
US (1) US11488835B2 (ja)
EP (1) EP4248487A1 (ja)
JP (1) JP7503650B2 (ja)
KR (1) KR20230024878A (ja)
CN (1) CN115552572A (ja)
TW (1) TWI804054B (ja)
WO (1) WO2022109016A1 (ja)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6163446B2 (ja) 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
US10283369B2 (en) * 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
CN116779435A (zh) 2016-12-09 2023-09-19 Asm Ip 控股有限公司 热原子层蚀刻工艺
KR102476262B1 (ko) 2017-12-14 2022-12-08 어플라이드 머티어리얼스, 인코포레이티드 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들
JP2021509525A (ja) 2017-12-27 2021-03-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマ処理装置および方法
JP7336884B2 (ja) 2018-10-04 2023-09-01 東京エレクトロン株式会社 表面処理方法及び処理システム

Also Published As

Publication number Publication date
US11488835B2 (en) 2022-11-01
EP4248487A1 (en) 2023-09-27
CN115552572A (zh) 2022-12-30
JP7503650B2 (ja) 2024-06-20
US20220165580A1 (en) 2022-05-26
TW202236416A (zh) 2022-09-16
WO2022109016A1 (en) 2022-05-27
KR20230024878A (ko) 2023-02-21
TWI804054B (zh) 2023-06-01

Similar Documents

Publication Publication Date Title
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
TW201826383A (zh) 選擇性的SiN側向凹部
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
TWI817471B (zh) 高深寬比特徵中的金屬蝕刻
US20230015080A1 (en) Metal oxide directional removal
JP2023530554A (ja) 等方性窒化ケイ素の除去
KR20220154798A (ko) 선택적 금속 화합물 제거를 위한 시스템들 및 방법들
JP7503650B2 (ja) タングステン含有膜除去のためのシステム及び方法
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US11984325B2 (en) Selective removal of transition metal nitride materials
JP2024525764A (ja) 金属酸化物指向性除去
JP2023530562A (ja) ルテニウム含有材料の選択的除去

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240409

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240610

R150 Certificate of patent or registration of utility model

Ref document number: 7503650

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150