JP7423723B2 - 原子層エッチングにおける方向性の制御 - Google Patents

原子層エッチングにおける方向性の制御 Download PDF

Info

Publication number
JP7423723B2
JP7423723B2 JP2022163834A JP2022163834A JP7423723B2 JP 7423723 B2 JP7423723 B2 JP 7423723B2 JP 2022163834 A JP2022163834 A JP 2022163834A JP 2022163834 A JP2022163834 A JP 2022163834A JP 7423723 B2 JP7423723 B2 JP 7423723B2
Authority
JP
Japan
Prior art keywords
plasma
substrate surface
substrate
metal
bias voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022163834A
Other languages
English (en)
Other versions
JP2022185118A (ja
Inventor
フィッシャー・アンドレアス
リル・トルステン
ジャネク・リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022185118A publication Critical patent/JP2022185118A/ja
Application granted granted Critical
Publication of JP7423723B2 publication Critical patent/JP7423723B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示の実施形態は、原子層エッチング(ALE)に関し、特に、原子層エッチングにおける方向性の制御に関する。
均一性およびエッチング速度に対して微調整された制御による、半導体基板上の材料をエッチングする従来の技術は、限定的である。例えば、従来、半導体処理の間に半導体基板上の材料をエッチングするためには反応性イオンエッチングが用いられ、反応性イオンエッチングを用いてエッチングされた材料のエッチング速度は、高周波プラズマ電力および化学的選択を調節することによって制御される。通常、ウエハプラズマシースは、基板の上面に形成するため、プラズマからのイオンは、通常、基板をエッチングするためにウエハ面上に加速される。しかし、テクノロジノードが原子スケールデバイスに進むにつれて、原子スケールの忠実性を伴うエッチングプロセスの制御が求められるだろう。
いくつかの実施形態による、基板上で原子層エッチング(ALE)を実施する方法が提供される。この方法は、基板面上で表面改質動作を実施する動作であって、表面改質動作は、基板表面の少なくとも1つの単層を改質層に変質するように構成され、表面改質動作の間に、表面改質動作によって変質された基板表面の深さを制御するように構成されたバイアス電圧が印加される動作と、基板表面上で除去動作を実施する動作であって、除去動作は、基板表面から改質層の少なくとも一部を除去するように構成され、改質層の一部を除去することは、改質層の一部を揮発するように構成された配位子交換反応によって行われる動作と、を含む。
いくつかの実施形態では、表面改質動作は、バイアス電圧によって制御された深さにまでイオンを基板表面に拡散するように構成されている。
いくつかの実施形態では、バイアス電圧は、表面改質動作中に、表面改質動作によって変質される基板表面の深さを実現する大きさおよび期間を有するように構成されている。
いくつかの実施形態では、その深さは、基板の1つ以上の単層によって規定される。
いくつかの実施形態では、バイアス電圧は、その大きさに応じて、表面改質動作を主に等方性から主に異方性に転じさせるように構成されている。
いくつかの実施形態では、バイアス電圧は、表面改質動作の一部の期間に印加され、その期間に、バイアス電圧は、ALEの異方性を増加させる垂直方向に深さ量を増加させるために印加され、一部の期間には、ALEの等方性を増加させる非垂直方向に深さを増加させるために印加されない。
いくつかの実施形態では、この方法は、さらに、除去動作に続いて、基板表面上でプラズマ処理を実施することを含み、プラズマ処理は、除去動作および/または表面改質動作によって発生した残留物を基板表面から除去するように構成され、残留物は、プラズマ処理によって揮発される。
いくつかの実施形態では、除去動作は、改質層の全体より少ない部分を基板表面から除去するように構成されている。この方法は、さらに、改質層の全体が基板表面から除去されるまで、除去動作およびプラズマ処理を繰り返すことを含む。
いくつかの実施形態では、この方法は、さらに、既定の厚さが基板表面からエッチングされるまで、表面改質動作と、除去動作と、プラズマ処理と、を繰り返すことを含む。
いくつかの実施形態では、バイアス電圧は、約20Vから100Vの範囲内である。
いくつかの実施形態では、基板改質動作を実施することは、基板表面をフッ素含有プラズマに曝露することを含み、フッ素含有プラズマへの曝露は、基板表面の少なくとも1つの単層をフッ化物種に変質するように構成されている。
いくつかの実施形態では、基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属砒化物、または金属化合物を含み、フッ素含有プラズマへの曝露は、金属フッ化物を形成する。
いくつかの実施形態では、基板表面をフッ素含有プラズマに曝露することは、フッ素含有ガスを基板が配置されているチャンバに導入することと、プラズマを点火することとを含む。
いくつかの実施形態では、フッ素含有プラズマへの曝露は、約15秒より短い期間に、約10mTorrから500mTorrのチャンバ圧で実施される。
いくつかの実施形態では、除去動作を実施することは、基板表面をアセチルアセトナートスズ(II)(Sn(acac)2)蒸気に曝露することを含み、Sn(acac)2蒸気への曝露は、アセチルアセトナート(acac)配位子を改質層のフッ素原子と交換するように構成されている。
いくつかの実施形態では、基板表面をSn(acac)2に曝露することは、Sn(acac)2を蒸気として基板が配置されているチャンバに導入することを含む。
いくつかの実施形態では、Sn(acac)2への曝露は、約1秒から30秒の期間で実施される。
いくつかの実施形態では、プラズマ処理を実施することは、基板表面を水素プラズマに曝露することを含み、水素プラズマへの曝露は、基板表面上のスズ、フッ化スズ、または酸化スズの残留物を揮発するように構成されている。
いくつかの実施形態では、基板表面を水素プラズマに曝露することは、水素ガスを基板が配置されているチャンバに導入することと、プラズマを点火することとを含む。
いくつかの実施形態では、水素プラズマへの曝露は、約1秒から30秒の期間で実施される。
いくつかの実施形態では、表面改質動作は、第1のチャンバで実施され、除去動作は、第2のチャンバで実施される。
いくつかの実施形態では、基板上で原子層エッチング(ALE)を実施するための方法が提供されている。この方法は、表面改質動作を基板上で実施することであって、表面改質動作は、基板表面の少なくとも1つの単層を改質層に変質する第1のプラズマに基板表面を曝露することを含み、バイアス電圧は、表面改質動作中に印加され、表面改質動作によって変質された基板表面の深さを制御するように構成され、実質的に基板表面をエッチングすることなくイオンを第1のプラズマから基板表面に向かって加速させるように構成されていることと、除去動作を基板表面上で実施することであって、除去動作は、改質層の少なくとも一部を基板表面から除去することを含み、改質層の一部を除去することは、改質層の一部を揮発するように構成された配位子交換反応によって行われることと、基板上で洗浄動作を実施することであって、洗浄動作は、基板表面からの除去動作によって発生した残留物を除去することを含み、さらに、基板表面を第2のプラズマに曝露することを含み、残留物は、第2のプラズマへの曝露によって揮発されることと、を含む。
本開示の実施形態によるALEの様々な分類を表す図。
本開示の実施形態による、Al23をエッチングするためのALEプロセスの化学反応を表す図。
本開示の実施形態による、Al23をエッチングするためのALEプロセスを表す図。
本開示の実施形態による、プラズマ処理チャンバおよび蒸気処理チャンバにおけるALEプロセス動作の実施状況を表す図。 本開示の実施形態による、プラズマ処理チャンバおよび蒸気処理チャンバにおけるALEプロセス動作の実施状況を表す図。 本開示の実施形態による、プラズマ処理チャンバおよび蒸気処理チャンバにおけるALEプロセス動作の実施状況を表す図。
本開示の実施形態により実施された方法のプロセスフロー図。
本開示の実施形態による、1回のフッ素化に続いてARXPS特性評価を用いる様々な条件下でのAl酸化物の厚さおよびAl酸化フッ化物の厚さを示すグラフ。
本開示の実施形態による、ゼロバイアスプラズマを用いる表面フッ素化の深さを表す図。
本開示の実施形態によるフッ素化膜の断面のSTEM像。 本開示の実施形態によるフッ素化膜の断面のSTEM像。 本開示の実施形態によるフッ素化膜の断面のSTEM像。 本開示の実施形態によるフッ素化膜の断面のSTEM像。 本開示の実施形態によるフッ素化膜の断面のSTEM像。
本開示の実施形態による、30秒間のフッ素プラズマ曝露に続く膜減りを表す図。 本開示の実施形態による、300秒間のフッ素プラズマ曝露に続く膜減りを表す図。
本開示の実施形態による、フッ素化およびSn(acac)2曝露の自己制御的性質を証明する膜減りのSE特性評価を表すグラフ。
本開示の実施形態による、フッ素イオンエネルギの関数として算出されたフッ素化の深さを表すグラフ。
本開示の実施形態による、1回の表面改質動作ごとに複数の配位子交換動作およびプラズマ洗浄動作を用いるALEを実施するための方法を表す図。
本開示の実施形態による、基板表面フィーチャの断面およびそこで実施される異方性ALEプロセスの実施状況を概念的に表す図。
本開示の実施形態による、基板表面フィーチャの断面およびそこで実施される等方性ALEプロセスの実施状況を概念的に表す図。
本開示の実施形態による、パッシベーション層の堆積により増加した異方性を提供するためのプロセスを表す図。 本開示の実施形態による、パッシベーション層の堆積により増加した異方性を提供するためのプロセスを表す図。 本開示の実施形態による、パッシベーション層の堆積により増加した異方性を提供するためのプロセスを表す図。 本開示の実施形態による、パッシベーション層の堆積により増加した異方性を提供するためのプロセスを表す図。
本開示の実施形態によるクラスタツール1500を表す図。
本開示の実施形態によるエッチングチャンバまたはエッチング装置の例を表す図。
本開示の実施形態による、上記のシステムを制御するためのコントロールモジュールを示す図。
以下の説明では、本実施形態の十分な理解を提供するために多くの特定の詳細が記載される。本開示の実施形態は、これらの特定の詳細の一部または全てなしに実施されてよい。他の例では、本開示の実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細には説明されていない。本開示の実施形態は、特定の実施形態と併せて説明されるが、それが本開示の実施形態を限定する意図はないことが理解されるだろう。
本明細書で提供されるのは、フッ素含有プラズマおよびスズ含有エッチング剤を含む配位子交換機構によって金属酸化物(酸化アルミニウム(Al23)など)の原子層エッチング(ALE)の方向性を制御する方法である。本明細書に記載の方法は、フッ素含有プラズマを用いてエッチングされる材料の表面を改質することと、自己制御的に材料を除去するために改質表面をアセチルアセトナートスズ(II)(Sn(acac)2)蒸気に曝露することとを含む。配位子交換反応は、プラズマなしで蒸着チャンバ内にSn(acac)2蒸気と共に維持される。
原子層エッチング(ALE)は、エッチング挙動の原子スケールでの制御のための1つのアプローチである。ALEは、循環プロセスの一種である。ALEは、連続的な自己制御反応を用いて材料の薄層を除去する技術である。一般に、ALEは、任意の適した技術を用いて実施されてよい。原子層エッチング技術の例は、2014年11月11日発行の米国特許第8,883,028号、および、2014年8月19日発行の米国特許第8,808,561号に記載されており、それらは、原子層エッチングおよびエッチング技術の例を説明するために参照として本明細書に援用される。様々な実施形態では、ALEは、プラズマを用いて、または、熱的に実施されてよい。
ALEのプロセスシーケンスは、本開示の実施形態により以下のように説明されうる。最初は、基板表面の一部は、未改質状態である。基板表面の分子/原子の最外層(または、表面層)は、ALEプロセスのために曝露される。表面変質/改質動作は、基板の表面層を官能化状態に変質するように実施される。例えば、表面層は、表面上に吸着または化学吸着しうる表面変質反応剤への曝露によって改質される。表面変質反応剤は、様々な実施形態では、表面層の原子と反応して表面変質動作に影響を与える分子または低エネルギラジカルを含みうる。結果として生じた表面層は、ALEステップを可能にする官能化した分子の最外層を含む。いくつかの実施形態では、動作は自己制御的で、基板表面の最外層のみ(実質的に最外層のみ)が変質を施されるだろう。いくつかの実施形態では、以下にさらに詳細に説明されるように、変質の特定の深さは、同様に変質の方向性に影響を与えるバイアス電圧の印加に少なくとも部分的によって制御される。いくつかの実施形態では、この表面変質は、表面種のハロゲン化合物への変質を必然的に伴う。いくつかの実施形態では、(自己制御的な)表面変質に続いて、チャンバは、反応副生成物または余剰の表面変質反応剤を除去するためにパージされる。
表面変質動作に続いて、次に配位子交換反応/動作が実施される。基板の改質表面層は、配位子交換反応に影響を与える配位子含有反応剤に曝露される。配位子交換反応では、配位子含有反応剤は、基板表面に吸着し、その配位子を以前の表面変質/改質動作中に形成された変質表面種に変換する。配位子は、分子/原子の改質表面層と結合し、放出されうる配位子置換表面種を含む反応生成物を形成する。
脱着は、表面種(配位子交換動作に続く反応生成物)の最外層の基板表面からの除去を行わせる。いくつかの実施形態では、放出は、配位子含有反応剤への曝露と同時に、または、別のステップ(例えば、チャック/チャンバの加熱、ランプ加熱)において付与されうる熱エネルギの付与によって実現されうる。
「ALEサイクル」の概念は、本明細書の様々な実施形態の考察に関連する。一般に、ALEサイクルは、基板の単層または既定の厚さの外層のエッチングなど、1回のエッチングプロセスを実施するのに用いられる動作の最小セットである。1サイクルの結果は、基板表面上の膜層の少なくとも一部がエッチングされることである。通常、ALEサイクルは、反応層を形成する改質動作を含み、次に、この反応層(全体または一部)だけを除去またはエッチングする除去動作が続く。改質は、化学吸着機構、堆積機構、上層変質機構、または抽出機構を用いることによって実施されてよい。このサイクルは、反応剤または副生成物のいずれかのスイープなど、特定の付随動作を含んでよい。一般に、1サイクルは、1つの独自の動作シーケンスを含む。
一例として、ALEサイクルの方法は、(i)反応ガスの供給、(ii)チャンバからの反応ガスの追加パージ、(iii)除去ガスおよび追加プラズマの供給、(iv)チャンバの追加パージの動作を含んでよい。ALEのさらなる説明および例は、2015年4月24日出願の「INTEGRATING ATOMIC SCALE PROCESSES:ALD(ATOMIC LAYER DEPOSITION) AND ALE(ATOMIC LAYER ETCH)」と題した米国特許出願第14/696,254号に記載されており、原子層エッチングプロセスを説明するために参照として本明細書に援用される。
開示の実施形態は、高い均一性を有する、高度に制御されたエッチング方法をもたらす。開示の実施形態は、様々な材料の等方性エッチングを実施するのに用いられてよく、約50Vbといった約20Vbから約80Vbの間のバイアス電圧でバイアスを印加することによって異方性エッチングを実施するために改質されてもよい。
ALEは、表面改質動作(例えば、基板表面上での反応性化学物質による化学吸着)によって行われてよく、除去動作がそれに続く。そのような動作は、特定数のサイクルで繰り返されてよい。ALEの間は、反応性化学物質および除去用化学物質は、別々に基板に供給される。
Al23の等方性原子層エッチング(ALE)は、表面改質ステップ用にフッ素プラズマを、非プラズマ除去ステップ用にアセチルアセトナートスズ(II)(Sn(acac)2)蒸気を用いる配位子交換方法によって実証されてきた。イオンバイアスによって提供されるなど、ウエハへの指向性エネルギがない状態でステップが実施される実施形態では、全てのエッチングプロセスは等方的である。しかし、本開示の実施形態により、異方性は、制御されたバイアス電圧の印加によって、制御された方法で等方性基本プロセスに導入されうる。
様々な実施形態では、プロセスは、適した処理装置/チャンバ(例えば、共にラムリサーチコーポレーションによって製造される、フッ素化用のKiyo、蒸着処理用のICS)で実施される。
連続するプラズマフッ素化、および、アセチルアセトナートスズ(II)(Sn(acac)2)による自己制御熱反応を用いるAl23の原子層エッチングが実証されてきた。Al23のALEを実施するための1つのアプローチは、ウエハ(Al23表層を有する)が真空を破壊することなくプラズマ処理チャンバ(フッ素化の実施用)と蒸着チャンバ(Sn(acac)2蒸気によるAlF3除去の実施用)との間で循環される、空間的ALEプロセスを実施することである。ALEを実施するための他のアプローチは、ウエハが異なるチャンバ間を移動する必要がないように、1つのチャンバでプラズマ処理および蒸着処理の両方を実施することである。
図1は、本開示の実施形態によるALEの様々な分類を表す。概して、一般的なALEプロセスでは、改質動作が実施され、その次に除去動作が続く。改質動作の目的は、実際に表面層をエッチングすることなしに表面層を薄くすることである。表面を改質する1つの技術は、ラングミュア動力学による自己制御的な化学吸着である。ALEのために表面を改質する別の方法は、成膜である。この場合は、成膜は、ALDでない限り、必ずしも自己制御的ではない。そうだとしても、除去ステップは、反応剤の有効性によって限定されうる。表面改質を実施するための第3の方法は、変換反応である。変換の一例は、表層のハロゲン化である。これは、拡散律速プロセスであり、プラズマ、浸浴、または他の方法によって実施されうる。
除去ステップ、例えばイオンアシストまたは配位子交換の詳細に応じて、方向性または等方性のALEを得ることが可能である。
ALEの1つのユースケースは、「4色問題」として知られる問題に対処することである。概して、4色問題は、R面取りなしで、各色が異なる材料を表す4色のうち特定の1色を除去する問題を提起する。
例として、等方性ALEは、トランスメタル化を含む配位子交換によって、1つの「色」のエッチングを可能にする。
不安定な反応副生成物または存在しない配位子交換機構は、他の3つの「色」のエッチングを防ぎ、それによってエッチングされた色に対する選択性を提供する。
下の表Iは、Chem.Mater.、2016年、28(21)、7657~7665ページのY.Lee、C.Huffman、S.M.Georgeによる「Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions」に関して実証された、Sn(acac)2、Al(CH33、AlCl(CH32、SiCl4を含む配位子交換前駆体、および、サイクルごとに除去される材料の量の例を提供する。表のように、用いられた特定の前駆体に応じて、エッチング選択性も同様に実現可能である。
Figure 0007423723000001
図2は、本開示の実施形態による、Al23をエッチングするためのALEプロセスの除去ステップの化学反応を表す。上述のように、Al23の表面部分は、まず、表面改質/変質ステップを実施することによってAlF3に変換される。次に、図のように、フッ化アルミニウム(III)(固体)と反応させるためにアセチルアセトナートスズ(II)(蒸気)が提供されて、共に選択されたプロセス温度で揮発するフルオロアセチルアセトナートスズ(II)およびアルミニウム(III)アセチルアセトナートが生成される。反応生成物は、揮発すると、表面から除去され、チャンバから排出されうる。
図3は、本開示の実施形態による、Al23をエッチングするためのALEプロセスを表す。最初に、Al23表面を有する基板がプラズマ処理チャンバ内に配置される。次に、Al23表面は、例えば、0バイアスICPプラズマ(すなわち、ウエハ台座に印加されるRF電力がない)を用いてフッ素化される。完了後に、基板は、真空を破壊することなく、フッ素化表面とのSn(acac)2系配位子交換反応が起こる蒸着チャンバに移動される。最後のチャンバのポンプアウトステップで第1のALEサイクルは完了し、その後に、ウエハは、次のALEサイクルのためにプラズマ処理チャンバに折り返しうる。あるいは、全てのサイクルは、1つのチャンバで実施されうる。
図4A~図4Cは、本開示の実施形態による、プラズマ処理チャンバ400および蒸着チャンバ410におけるALEプロセス動作の実施状況を表す。図4Aを参照すると、基板402は、プラズマ処理チャンバ400の基板ホルダ404の上に示されている。供給ガスシャワーヘッド408を通るプロセスガス流および暖機運転の開始に続いて、電力をICPコイル406に印加することによって誘導結合プラズマ(ICP)が生成される。様々な実施形態では、フッ素化にはフッ素プラズマタイプ1もしくはタイプ2、または他のタイプが用いられうる。フッ素プラズマは、CF4、NF3、SF6、CHF3、C224、F2、SiF4などの様々なフッ素含有前駆体から生成されうることが理解されるだろう。いくつかの実施形態では、基板ホルダ404は、約100℃の温度まで加熱される。いくつかの実施形態では、フッ素化動作は、約20mTorrの圧力で実施される。プラズマ曝露に続いて、プロセスガスをチャンバから除去するためにポンプアウトが実施される。
図4Bを参照すると、プラズマ処理チャンバ400におけるフッ素化動作の完了後に、基板402は、真空を破壊することなく、配位子交換動作の実施のために蒸着チャンバ410に移動される。暖機運転に続いて、基板ホルダ412の上に配置された基板上に蒸気を流すことによって蒸着処理が施される。例えば、Sn(acac)2蒸気は、気化器414によって生成され、加熱された蒸気ライン416を通って流され、蒸気ノズルプレート418を介して基板の上に分配されうる。蒸着処理は、プラズマの生成を伴わない。いくつかの実施形態では、配位子交換のためにSn(acac)2蒸気が付与される。いくつかの実施形態では、基板ホルダ412は、約200℃の温度まで加熱される。いくつかの実施形態では、チャンバ圧は、約20mTorrから120mTorrに維持される。いくつかの実施形態では、蒸着処理は、約1秒から約15秒の間付与される。蒸着処理の付与に続いて、蒸着チャンバ410からプロセスガスを除去するためにポンプアウトが実施される。
図4Cを参照すると、配位子交換動作の完了後に、基板402は、真空を破壊することなくプラズマ処理チャンバに移動され、それは、フッ素化動作に用いたものと同じプラズマ処理チャンバ400、または、異なるプラズマ処理チャンバであってよい。水素プラズマ処理は、基板表面から残留スズを除去するために実施される。H2ガスは、供給ガスシャワーヘッド408を通じて流され、電力は、H2プラズマを生成するためにICPコイル406に印加される。いくつかの実施形態では、500WのICP電力が印加される。いくつかの実施形態では、基板ホルダ404は、約100℃の温度まで加熱される。いくつかの実施形態では、水素プラズマ処理は、約20mTorrのチャンバ圧で実施される。いくつかの実施形態では、いくつかの実施形態では、水素プラズマ処理は、約5秒から45秒の期間に実施される。プラズマ曝露に続いて、プラズマ処理チャンバからプロセスガスを除去するためにポンプアウトが実施される。
図の実施形態では、プラズマ処理用と蒸着用とは別々のチャンバが示されているが、他の実施形態では、1つのチャンバがプラズマ処理および蒸着処理に用いられうることが当業者に理解されるだろう。そのようなシステムは、異なるプロセスガスの間で切り替えを可能にする適切なバルブ(例えば、各プロセスガスのチャンバへの導入を制御する個々のバルブ)を有しうる。パージ動作またはポンプアウト動作は、フッ素化、蒸着処理、および水素プラズマ処理の各々に続いて実施されうる。
図5には、本開示の実施形態によって実施される方法のプロセスフロー図が提供されている。動作501~動作507の間に、アルゴンなどの不活性ガスは、キャリアガスとして裏面に継続的に流されてよい。
動作501では、エッチングされる材料を含む基板は、基板の表面を改質するためにフッ素含有プラズマに曝露される。
フッ素含有プラズマは、フッ素含有ガスを導入し、プラズマを点火することによって生成されてよい。例えば、いくつかの実施形態では、フッ素含有ガスは、四フッ化炭素(CF4)、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、フッ素(F2)、または任意のフッ素含有ガスであってよい。様々な実施形態では、基板をエッチングするために、CF4は、O2と共に導入されてプラズマにおいて多くのフッ素イオンを生成してよい。いくつかの実施形態では、フッ素含有プラズマを生成するためのチャンバへの全ガス流の約35%は、O2ガスである。炭素を含む他のフッ素含有ガスは、炭化物の形成を抑制するために別のガスと共に導入されるいくつかの実施形態において用いられてよい。例えば、他のフッ素含有ガスは、式Cxyzを有してよい(xは1以上の整数、yは0以上の整数、zは1以上の整数)。例は、フルオロホルム(CHF3)およびジフルオロメタン(CH22)を含む。いくつかの実施形態では、フッ素含有ガスは、フッ素含有液を蒸発させることによって生成されてよい。
いくつかの実施形態では、基板はパターン化されていない。他の実施形態では、基板はパターン化されてよい。基板は、酸化物ブロックまたはエッチング停止層などの追加のゲート層を含みうるトランジスタ構造を備えてよい。例えば、基板は、FinFETトランジスタのフィンの上に酸化アルミニウム層を備えてよい。いくつかの実施形態では、基板は、金属酸化物エッチング停止層がエッチングされる材料になるように、構造内に形成されたトレンチの底に金属酸化物エッチング停止層を有する3D NAND構造を備えてよい。様々な実施形態では、基板上のフィーチャは、約1.5:1から約5:1の間のアスペクト比を有してよい。いくつかの実施形態では、フィーチャは、最大約10:1のアスペクト比を有してよい。
動作501におけるプラズマは、in situで生成されてよい、または、リモートプラズマであってよい。多くの実施形態では、プラズマは、誘導結合プラズマを生成するためにin situで生成される。
しかし、他の実施形態では、容量結合プラズマ(CCP)が用いられうる。そのような実施形態では、CCPリアクタは、低バイアスモードで等方性ALEを提供できるように構成されうる。例えば、そのようなCCPリアクタは、リアクタの上部にRF電極、および、フローティング接地されるように構成された基板ホルダを用いて、比較的高いRF周波数設定、例えば60MHzで動作してよい。
様々な実施形態では、基板は、エッチングされるべき、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属砒化物、純金属、または他の金属化合物層を含む。例は、酸化アルミニウム(Al23)および酸化ハフニウムを含む。多くの実施形態では、ケイ素含有材料(例えば、酸化ケイ素、窒化ケイ素、炭化ケイ素、ケイ素)は、特にFinFETトランジスタ構造のフィンの上の犠牲ゲート酸化物層などの材料をエッチングするときのエッチング選択性の実現に寄与する本開示の実施形態を用いてエッチングされなくてよいことに留意されたい。本開示の実施形態は、様々な材料をエッチングするのに用いられてよいことが理解されるが、図5は、酸化アルミニウムのエッチングに関して説明される。
いくつかの実施形態では、動作501は、基板表面の等方性改質を可能にするためにRFバイアスの印加なしに実施されてよい。いくつかの開示の実施形態は、等方性エッチングを実施するのに用いられてよいが、他の実施形態では、動作501の間にバイアスを印加することによって異方性エッチングプロセスが実施されてもよい。そのため、図5に関する本明細書に記載の方法は、酸化アルミニウムを等方的にまたは異方的にエッチングするように構成されうる。
特定の理論に縛られることなく、動作501の間に、酸化アルミニウム表面などの金属酸化物表面は、フッ化アルミニウム(例えば、AlF3)を形成するために、酸化アルミニウムの表面を改質するようにフッ素含有プラズマによって等方的または異方的にフッ素化されてよい。酸化アルミニウム表面の1つまたは複数の単層は、フッ化アルミニウムを形成するように改質されてよい。改質動作は、フッ素イオンの拡散/浸透の深さによって限定されてよい。バイアスの影響下では、フッ素イオンの浸透/拡散の深さは、より深くなり(バイアス軸に沿って)、より異方的にもなる。基板は、約15秒より短いが0秒より長い期間に、例えば約20mTorrといった約10mTorrから約100mTorrの間のチャンバ圧でフッ素含有プラズマに曝露されてよい。
別の実施形態では、上記のプラズマ主導のプロセスに対して、熱フッ素化動作が実施される。すなわち、基板は、プラズマを生成する必要なく表面のフッ素化を誘導するのに十分な温度でフッ素含有ガス(例えば、NF3)に曝露される。
いくつかの実施形態では、動作501を実施した後に、基板を収容するチャンバは、パージされなくてよいことに留意されたい。いくつかの実施形態では、基板はパージされてよい。
動作503では、基板は、アセチルアセトナートスズ(II)(Sn(acac)2)蒸気に曝露される。様々な実施形態では、Sn(acac)2は、基板への蒸気供給前に、外部気化器で気化されてよい。
特定の理論に縛られることなく、改質されたAlF3表面がSn(acac)2蒸気に曝露されたときは、配位子交換反応は、Sn(acac)2の1つのacac配位子がAlF3分子の1つのフッ素原子を置き換えてAlF2(acac)を形成するように起こるとされる。追加のSn(acac)2および/またはSn(acac)は、次に、第2のフッ素原子および第3のフッ素原子を(acac)と置換してAl(acac)3を生成するために、再びAlF2(acac)と2回反応してよい。acac配位子がフッ素原子に置き換えられると、Al(acac)x種は、ますます揮発性になり、基板からエッチングされるようになるとされる。この反応は自己制御的であり、いくつかのスズ、フッ化スズ、酸化スズ、およびSn(acac)2は、エッチングされる材料の表面上に堆積し始めるため、AlF3の改質された下層のさらなるエッチングを阻止してよいとされる。
いくつかの実施形態では、動作501および動作503は、同じチャンバで実施されてよい。そのような実施形態では、配位子交換反応が約190℃より高い必要があるのに対して、H2プラズマによるAl23のエッチングが起こるためH2プラズマフラッシュは約150℃より低くなければならないため、配位子交換ステップとH2プラズマフラッシュとの間には急速な温度変化がもたらされる。動作503では、プラズマはオフされ、フッ素含有ガス流は、蒸気流のオン前にオフされてよい。いくつかの実施形態では、チャンバは、動作503の前にパージされない。
いくつかの実施形態では、動作501および動作503は、同じ装置の異なるチャンバで実施されてよい。ALE動作を実施するための複数のチャンバを有する装置は、本開示の実施形態に従って提供されうる。様々な実施形態では、基板は、動作501においてフッ素含有プラズマに曝露するための第1のチャンバと、動作503においてSn(acac)2蒸気に曝露するための第2のチャンバとの間を往復または移動されてよい。いくつかの実施形態では、第2のチャンバは、蒸着チャンバである。いくつかの実施形態では、第2のチャンバは、プラズマ源を備えない改質チャンバである。チャンバ間の基板の移動または往復は、真空を破壊することなく実施されてよいことに留意されたい。
別の実施形態では、基板は、金属フッ化物に対して選択的だが金属酸化物とは反応しない気相の別の化学物質に曝露されてよい。その化学物質は、金属フッ化物と反応したときに、配位子(例えば、Sn(acac)2)に結合した金属を含む揮発性化合物を生成する1つ以上の配位子を含んでよい。
いくつかの実施形態では、動作503は、約200℃の温度に設定された、ウエハを保持するウエハホルダまたは台座の温度で、約1秒間実施されてよい。様々な実施形態では、Sn(acac)2蒸気への曝露終期のチャンバ圧は、約20mTorrであってよい。
動作505では、基板は、プラズマ処理(例えば、水素プラズマ)に曝露されてよい。特定の論理に縛られることなく、動作505は、動作503の実施で堆積しうる、基板の表面上に堆積したスズ、フッ化スズ、または酸化スズを揮発させるために実施されるとされる。基板の水素への曝露は、選択された基板温度で揮発性のあるスズ水和物を形成してよく、スズ水和物は、次に処理チャンバから排出されてよい。基板は、0秒より長く5秒未満の期間にプラズマ処理に曝露されてよい。プラズマ曝露の期間は、基板上のスズの量に依存してよい。例えば、いくつかの実施形態では、スズの量は、発光スペクトルのスズ線を評価することによって決定されてよい。いくつかの実施形態では、プラズマは、発光スペクトルのスズ線が消えたときにオフされてよい。いくつかの実施形態では、基板は、約5秒間プラズマに曝露される。いくつかの実施形態では、基板は、約5秒より長い期間プラズマに曝露される。様々な実施形態では、プラズマ処理は、水素ガスを導入することと、プラズマを点火することとを含んでよい。動作505は、動作501および/または動作503と同じチャンバで実施されてよい。動作505は、基板を水素プラズマに曝露することによって実施されてよいが、いくつかの実施形態では、エッチングされる材料の表面上に堆積したスズまたは酸化スズを除去するために異なる化学物質が用いられてよい。例えば、いくつかの実施形態では、アンモニア(HN3)プラズマが用いられてよい。
いくつかの実施形態では、動作505は、別のチャンバで実施されてよい。例えば、いくつかの実施形態では、基板は、動作501が実施された第1のステーション/チャンバに移動もしくは往復されてよい、または、動作505を実施するために第3のステーション/チャンバに移動もしくは往復されてよい。チャンバ間における基板の移動または往復は、真空を破壊することなく実施されてよいことに留意されたい。
動作507では、エッチングされた量が所望のエッチング量を実現するのに十分であるかどうかが決定される。所望の残りの厚さが未だ実現されていない場合は、動作501~動作505が任意で繰り返されてよい。いくつかの実施形態では、動作505は、動作501および動作503を実施するnサイクルごとのみに実施されてよい(nは、1以上の整数)。nが1の場合は、動作505は、各サイクルで実施される。様々な実施形態では、動作505は、各サイクルで実施される。別の例では、動作505は、(1)フッ素含有プラズマへの曝露、(2)Sn(acac)2蒸気への曝露、(3)フッ素含有プラズマへの曝露、(4)Sn(acac)2蒸気への曝露、(5)水素プラズマへの曝露、および(6)(1)~(5)の繰り返し、の動作が基板をエッチングするために実施されるように、動作501および動作503を実施する2サイクルごとに実施されてよい(nが2の場合)。
本開示のいくつかの実施形態により、等方性原子層エッチングは、改質ステップ中に低バイアスプラズマを用いる。Al23などの金属酸化物をエッチングするときは、等方性原子層エッチングは、酸化膜の表面でフッ化アルミニウムを形成するために、ゼロバイアスフッ素プラズマを含む。このステップは、下記のデータが示すように、いくつかの単層に対して自己制御的である。
次の蒸気除去ステップの間に、Sn(acac)2は、配位子交換機構によって膜のフッ素化された上面と反応し、フッ素化層をエッチングする。蒸着処理は、プラズマシースからウエハへの指向性エネルギ、例えばイオンエネルギをもたらさないため、蒸着ステップは、等方的に金属フッ化物をエッチングする。反応の全体的な流れは、次のように要約される:(1)低バイアスフッ素プラズマにおいて1.5nm以下のフッ素化された浅い表面層を形成する、(2)フッ素とacac配位子の間で配位子交換反応を実施するために基板が高温(例えば、200℃)に加熱される間に、プラズマなしでSn(acac)2蒸気を付与する、(3)揮発性反応副生成物を排出する、(4)基板表面から不揮発性スズ副生成物を除去するために、基板表面にわずかな水素プラズマフラッシュを行う、(5)ステップ(1)に戻り繰り返す。
異方性は、プラズマフッ素化ステップ中に制御された方法でプラズマバイアスをオンすることによって、制御された方法でエッチングプロセスに導入されうる。データは、フッ素化の深さがバイアスエネルギで制御されうることを示すことによって得られる。フッ素イオンは、プラズマシースにおける加速の間に得た初期のイオンエネルギが大きい場合は、停止される前に金属酸化膜により深く進むだろう。
フッ素化をよりよく理解するために、Al23膜は、様々なフッ素化条件に曝され、その後に、膜への変化を理解するために一組の特性評価技術が用いられた。フッ素化の深さおよび全体の材料ロスを測定するために、角度分解X線光電子分光法(ARXPS)が用いられた。
図6は、本開示の実施形態による、1回のフッ素化に続いて表面のARXPS特性評価を用いる様々な条件下での酸化アルミニウムの厚さおよび酸化フッ化アルミニウムの厚さを示すグラフを表す。図のように、フッ素化の深さは、プラズマの密度およびイオンエネルギに依存することが示された。しかし、カソードバイアス(イオンエネルギに影響を与える)は、プラズマの密度よりも著しく大きい範囲でフッ素化の深さに最も大きい影響を示した。
図7は、本開示の実施形態による、ゼロバイアスプラズマを用いる表面フッ素化の深さを表す。プラズマ処理後のフッ素化の深さは、深さ分解XPSによって調べられた。図6に示す結果と一致して、フッ素化の深さは、約1.5nmまで限定されることがわかった。具体的には、シリコン基板上の二酸化ケイ素(熱酸化物)層(1000オングストロームの厚さ)の上に100オングストロームの表面厚のAl23を有するサンプルがプロファイルされた。図のグラフでは、スパッタ時間(秒)の関数として、アルミニウム、酸素、およびフッ素の元素の測定された原子パーセントが示されている。測定値は、ゼロバイアス条件下でのフッ素化プラズマの印加前および印加後の両方が示されている。
フッ素化プラズマの印加前の、酸素の原子パーセントは曲線700によって示され、アルミニウムの原子パーセントは曲線702によって示され、フッ素の原子パーセントは曲線704によって示される。図のように、アルミニウムの原子パーセントは、100オングストローム(10nm)の厚さの酸化アルミニウム層の完全なスパッタリングに相当する200秒付近で降下する。そのため、約1nmの厚さが20秒ごとにスパッタリングされる。曲線700で示される酸素の原子パーセントは、スパッタリングが二酸化ケイ素層に達するため、約200秒後に上昇する。曲線704で示されるフッ素の原子パーセントは、フッ素化プラズマが印加されていないため、全体を通してゼロである。
フッ素化プラズマの印加後の、酸素の原子パーセントは曲線706によって示され、アルミニウムの原子パーセントは曲線708によって示され、フッ素の原子パーセントは曲線710によって示される。図のように、フッ素の原子パーセントは、約15オングストローム(1.5ナノメートル)の深さに相当する、約30秒のスパッタリング時間の間にゼロ近くまで下降する。そのため、フッ素化プラズマは、ゼロバイアスで約15オングストロームのフッ素拡散深さを実現した。
図8A~図8Eは、本開示の実施形態によるフッ素化膜の断面のSTEM像である。図8Aは、熱二酸化ケイ素層の上に約11ナノメートル(nm)の厚さを有する酸化アルミニウムの表面層を含む、フッ素化前の断面を示す。図8Bは、バイアスなしのフッ素化の結果を示す。図8Dは、この結果の一部の近接図を示す。
図8Cは、100Vバイアスによるフッ素化の結果を示す。図8Eは、この結果の一部の近接図を示す。
これらの画像に示されるように、改質深さ(濃いグレーで示す)は、バイアスなしで5.7nmであり、100Vのバイアスで6.7nmであった。フッ素化の深さ(薄いグレーで上端に示す)は、バイアスなしで1.4nmであり、100Vのバイアスで2.5nmであった。Al23の「c」格子定数は、1.3nm以下である。画像からわかるように、フッ素化の深さは、100Vのバイアスの印加の結果、増加している。
図9Aおよび図9Bは、それぞれ、本開示の実施形態による、30秒のフッ素プラズマ曝露および300秒のフッ素プラズマ曝露に続く膜減りを表す。図のように、300秒のフッ素プラズマ曝露は、30秒のフッ素プラズマ曝露以上には著しい追加の膜減りを引き起こさなかった。
図10は、本開示の実施形態による、フッ素化およびSn(acac)2曝露の自己制御的な性質を示す、膜減りの分光エリプソメトリ(SE)特性評価を表すグラフである。
図のように、ゼロバイアスフッ素化プロセスは、自己制御的である。5Aまでの減りは、フッ素化中の屈折率変化によるものであろう。
また、この結果は、1回のALEサイクルで除去された材料が、Sn(acac)2の付与時間にわずかに依存してよいことを示す。しかし、表面上には、フッ素化の全深さ(15Aまで)までエッチングする能力を制限する材料が多少残る。
記載のように、フッ素化の深さは、バイアスの印加によって増加する。従って、Sn(acac)2蒸気ステップの間に、ゼロバイアスの場合よりも多くの金属フッ化物がフッ素化ステップ中に印加されたバイアス(例えば、100V)を有する膜から除去されるだろう。フッ素化の深さは、プラズマシースエッジに垂直ではなく平行な表面の上でのみ増加するため、次の除去ステップにおいて異方性が実現されうる。つまり、垂直面からよりも多くの材料が水平面から除去されるだろう。
図11は、本開示の実施形態による、フッ素イオンエネルギの関数として算出されたフッ素化の深さを表すグラフである。図のように、イオンエネルギの増加は、フッ素化の深さの増加をもたらす。例えば、1nmより大きいフッ素化の深さを実現することは、100eVより大きいイオンエネルギを必要とするだろう。そのため、ALEプロセスの異方性量は、フッ素化ステップの間に増加するイオンエネルギによって増加する。
上記のように、配位子交換動作は、残留物の堆積により自己制御的であり、残留物を除去するために水素プラズマが印加されうるため、1回の動作で基板のフッ素化部分を完全に消費できない。そのため、いくつかの実施形態では、配位子交換動作および水素プラズマ動作は、フッ素化動作ごとに複数回繰り返されうる。
図12は、本開示の実施形態により、1回の表面改質動作ごとに複数回の配位子交換動作およびプラズマ洗浄動作を用いてALEを実施するための方法を表す。図の方法は、表面改質のためのフッ素含有プラズマ、配位子交換のためのSn(acac)2、および残留物除去のための水素プラズマに関して説明されている。しかし、様々な実施形態では、この方法は、表面改質、配位子交換、および残留物除去のための他の組の特定の化学物質に適用されうる。
方法動作1201では、フッ素プラズマを用いて、フッ素プラズマ曝露中にバイアス電圧の大きさによって制御された深さを有するフッ素化表面層が形成される。いくつかの実施形態では、プラズマは、CF4またはNF3を用いて生成されてよい。フッ素化の深さは、方向性のある(基板/ウエハ面に垂直な)バイアスの印加によって増加するため、バイアス電圧の印加は、フッ素化の深さを増加させるだけでなく、エッチングプロセス全体の異方性も増加させるだろうことが理解されるだろう。
方法動作1203では、フッ素配位子とacac配位子との間の配位子交換反応を実施するために基板が高温(例えば、200℃)に加熱される間に、プラズマなしでSn(acac)2蒸気が付与される。1回のSn(acac)2蒸気の付与は、フッ素化膜の上層とのみ配位子を交換するため、フッ素化膜全体を完全に消費しない可能性があることに留意されたい。これは、フッ素化の深さが(ゼロバイアスフッ素化プラズマと比べて)バイアス電圧の印加によって増加された場合に特に当てはまる。Sn(acac)2蒸気の付与に続いて、揮発反応の副生成物が排出される。しかし、記載のように、表面上に残った(不揮発性)スズ含有残留物の堆積がある可能性があるため、それがSn(acac)2蒸気付与の間にさらなる配位子交換反応(および、続く材料の除去)が起こるのを防ぐ。
そのため、方法動作1205では、不揮発性スズ副生成物を基板表面から除去するために、わずかな水素プラズマフラッシュを基板表面に付与する。
記載のように、方法動作1203で行った蒸気付与は、フッ素化表面層全体を消費していない可能性がある。よって、方法動作1207では、フッ素化層が配位子交換によって消費されたかどうかが決定される。消費されていない場合は、この方法は、動作1203に戻り、フッ素化層が消費されるまでSn(acac)2曝露および水素プラズマ曝露を繰り返す。フッ素化層を完全に消費するのに必要な方法動作1203および方法動作1205のサイクル数は、実験的に既定されてよいことが理解されるだろう。従って、フッ素化層が消費されたかどうかを方法動作1207において決定することは、既定のサイクル数が実施されたかどうかを決定することによって定義されてよい。
フッ素化層全体が消費されたとき、または、フッ素化層を完全に消費するのに必要な既定のサイクル数が実施された場合は、動作1209において、膜が所望の厚さにエッチングされたかどうかが決定される。膜が所望の厚さにエッチングされていない場合は、この方法は動作1201に戻り、表面フッ素化が実施される。
プロセス(方法動作1201、方法動作1203、方法動作1205、および方法動作1207)は、膜が所望の厚さにエッチングされるまで、または、所望の厚さを実現するために、既定のサイクル数が完了するまで繰り返される。
前述のプロセスは、Sn(acac)2曝露および水素プラズマ曝露の各段階を伴うフッ素化動作の実施に対して、複数サイクルのSn(acac)2曝露および水素プラズマ曝露について1回のフッ素化動作を実施するため、従来のALEプロセスよりも速いことが理解されるだろう。これは、ALEプロセスのスループットを向上させうる。さらに、フッ素化動作の数を減らすことで、基板表面上に存在し、複数のフッ素化動作による劣化の影響を受けやすいマスク(例えば、酸化シリコンマスク)への選択性を保つことできる。一方で、配位子交換は、選択的であり、マスクに影響を与えない。
図13Aは、本開示の実施形態により、基板表面のフィーチャ1300の断面、および、そこで実施される異方性ALEプロセスの実施状況を概念的に表す。基板の上面は、基板の他の部分がエッチングされるのを防ぐためにマスク1302を備えてよい。図の実施形態では、ゼロバイアスで表面改質機構を用いてALEを実施することによって、等方性ALEプロセスが実施される。表面改質をゼロバイアスで実施することによって、表面改質の効果は等方的になり、利用可能な表面種の全方向にほぼ均一な深さへの変換を提供するだろう。上記のように、表面改質は、表面改質の深さを形成するために制限された拡散であってよい。
表面改質が等方的に実施されたため、改質された部分は、次の除去動作(例えば、配位子交換機構による)によって除去が可能である。この結果は、表面改質動作中に印加されるバイアス不足のため等方的であるALEプロセスである。上記のように、いくつかの実施形態では、1回の表面改質は、1回の除去動作で除去が可能なものより大きな深さに達するため、いくつかの実施形態では、1回の表面改質動作によって改質された部分全体を完全に除去するために、複数の除去動作およびプラズマ洗浄動作が連続して実施される。
フィーチャ1300の初期表面1304が示されており、連続したサイクルのゼロバイアスエッチングプロセスが、フィーチャ1300の表面1304を等方的に深める。連続的なエッチングサイクルに続いて結果として生じたフィーチャ1300の表面は、それぞれ、表面1306、表面1308、表面1310、および表面1312で示されている。例えば、1回のエッチングサイクルに続いて、フィーチャ1300は、表面1306を有するように等方的にエッチングされ、2回目のエッチングサイクルに続いて、フィーチャ1300は、表面1308を有するように等方的にエッチングされる。
図13Bは、本開示の実施形態による、基板表面のフィーチャの断面、および、そこで実施される等方性ALEプロセスの実施状況を概念的に表す。図13Bの実施形態は、表面改質動作中にバイアス電圧が印加され、それにより表面改質動作に方向性度が導入されること以外は、図13Aの実施形態に類似する。バイアス電力の増加によって、イオンは、水平方向より深く垂直方向(基板表面に直角)下向きに移動するだろう。この結果は、ゼロバイアス表面改質と比べて、水平方向の深さを減少させるために表面改質が垂直方向下向きのより大きな深さに対して生じるだろうということである。表面改質動作によって変質された材料の量として、どれが除去動作による除去に利用可能かを決定し、その結果は、ゼロバイアスの場合と比べて、下向き垂直方向に沿うより速いエッチング速度、および、水平方向に沿う減少したエッチング速度を示す異方性ALEであるだろう。
引き続き図13Bを参照すると、フィーチャ1300の初期表面1304が再び示されている。しかし、ゼロバイアスエッチングと比べて、連続的なサイクルのバイアスエッチングプロセスは、フィーチャ1300の表面1304を異方的に深める。連続的な異方性エッチングサイクルに続いて結果として生じたフィーチャ1300の表面は、それぞれ、表面1314、表面1316、表面1318、および表面1320で示されている。例えば、1回のエッチングサイクルに続いて、フィーチャ1300は、表面1314を有するように異方的にエッチングされ、2回目のエッチングサイクルに続いて、フィーチャ1300は、表面1316を有するように異方的にエッチングされる。また、いくつかの実施形態では、垂直面は、ひとまとめにALEサイクルから除外されるように、保護ポリマで皮膜されうる。このように、垂直面を完全にエッチングすることを回避できる。
要するに、ALEプロセスの改質ステップ中は、(バイアス磁束の方向に沿った、または、一般に基板面に直角な)改質層の深さは、そのステップ中に印加されるバイアス電圧によって制御されうる。深さの変更は、一般に、プラズマシースエッジに平行な表面に限られる。このため、異方性は、プラズマフッ素化中に印加されるバイアスの量を制御することによって制御された方法で導入されうる。つまり、水平方向(基板面に平行)に対する垂直方向(基板面に直角)の相対エッチング速度は、バイアス電力の増加によって増加する水平に対する垂直のエッチング速度によって調節されうる。
バイアス電力は、特定の用途およびALE化学物質向けに調節されてよく、バイアス電力に関するトレードオフがあってよいことが理解されるだろう。例えば、バイアス電力が増加すると(例えば、バイアス電圧を制御することによって)、イオン注入がより大きな深さで起こり、より大きな表面改質の深さを形成し、異方性が増加してよい。しかし、バイアス電力が増加すると、より多くのエネルギがイオンに付与され、反応性イオンのエッチングおよび/またはスパッタリングによる膜減りも引き起こしうる。そのため、いくつかの実施形態では、バイアス電力は、膜減りを実質的に回避しながら、または、所定のALE用途について許容レベルの膜減りを許容しながら、表面改質の所望の深さを提供するように調節される。バイアスは、エッチングされている材料のスパッタリング閾値より低いレベルに制御されうる。それにより、早期の膜減りは最小限になりうる。
いくつかの実施形態では、異方性の程度は、エッチングされる構造内にポリマライナを堆積させるために、フッ素化前の重合プラズマステップによって増加しうる。このライナは、底面上のみでフッ素化ステップの初期以前またはその最中に開口されうるが(例えば、フォトレジスト、フォトリソグラフィ、およびイオンエッチングによって)、側壁を残すことでそれらを保護している。
図14A~図14Dは、本開示の実施形態による、パッシベーション層の堆積によって異方性の増加を提供するためのプロセスを表す。図14Aは、基板表面フィーチャ1400の断面を概念的に表す。図14Bに示すように、パッシベーション層1402は、フィーチャ内に堆積する。パッシベーション層は、下地面が次のALEプロセス中にエッチングされないようにする保護ライナである。様々な実施形態では、パッシベーション層は、ポリマ材、無機材、またはフィーチャ面が次のALEプロセス中にエッチングされないようにできる他の材料からなってよい。さらに、パッシベーション層は、制限なしに、CVD、ALDなどを含む、任意の適した技術によって堆積されうる。
図14Cでは、パッシベーション層1402の底部は開口されて、エッチング用の下地基板材が露出する。図14Dでは、異方性ALEプロセスが実行される(表面改質ステップ中にバイアス電圧を用いて)。図のように、フィーチャの底部はエッチングされるが、フィーチャの側壁1401は、パッシベーション層によって保護される。いくつかの実施形態では、パッシベーション層1402は、ALEプロセスによってエッチングされない。一方、他の実施形態では、パッシベーション層1402は、ALEプロセスによって部分的にまたは完全にエッチングされるように構成されている。そのような実施形態では、パッシベーション層1402は、フィーチャの側壁1401のエッチングの開始を阻止するまたは遅延させるように機能し、全てのプロセスについて異方性の増加を提供する。
本明細書に記載の様々な実施形態は、カリフォルニア州フレモントのラムリサーチコーポレーションから入手可能なKiyoなどのプラズマエッチングチャンバで実施されてよい。様々な実施形態では、基板は、真空を破壊することなく、エッチングチャンバと蒸着チャンバとの間で往復されてよい。
本開示の実施形態は、共にカリフォルニア州フレモントのラムリサーチコーポレーションから入手可能な、Kiyo(商標登録)またはFlexなどの適したチャンバまたは装置で実施されてよい。いくつかの実施形態では、開示の実施形態は、1つ以上のステーションを備えるクラスタツールで実施されてよい。図15は、本開示の実施形態によるクラスタツール1500を表す。様々な実施形態では、1つのステーション1501は、エッチングのためのモジュールを備えてよいが、別のステーション1503は、蒸気に曝露するためのモジュール(例えば、蒸着チャンバ)を備える。いくつかの実施形態では、3つ目のステーション1505は、プラズマに曝露するためのモジュールを備える。
いくつかの実施形態では、誘導結合プラズマ(ICP)リアクタが用いられてよい。そのようなICPリアクタも、2013年12月10日出願の「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」と題した米国特許出願公開第2014/0170853号に記載されており、本明細書に記載の技術の実施形態に適したICPリアクタを説明する目的で、参照として本明細書に援用される。本明細書ではICPリアクタについて説明されるが、いくつかの実施形態では、容量結合プラズマリアクタが用いられてもよいことを理解されたい。図16を参照すると、エッチングチャンバまたはエッチング装置の例は、チャンバ1601を含んでよく、チャンバ1601は、フッ素含有ガス(1605)、水素ガス(1607)、Sn(acac)2蒸気(1609)、または他の化学物質をチャンバ1601に分配するためのシャワーヘッドまたはノズル1603と、チャンバ壁1611と、ウエハをチャックおよびデチャックするための静電電極を含みうる、処理される基板またはウエハ1615を保持するためのチャック1613とを備える。チャック1613は、基板1615の加熱を可能にする熱制御のために加熱される。チャック1613は、本開示の実施形態によるバイアス電圧(例えば、約20Vから200Vの範囲の13.56Mhzの電圧)を供給するために、RF電源1617を用いて充電されてよい。RF電源1619は、プラズマを生成するために電力(例えば、約100Wから3kWの範囲の13.56Mhz)を本明細書に記載のコイル1621に、ガスを注入するためのガス流注入口に供給するように構成されている。ICPチャンバが示されているが、他の実施形態では、CCPチャンバが用いられうる。様々な実施形態では、チャンバ壁1611は、耐フッ素性であってよい。例えば、チャンバ壁1611は、フッ素含有ガスおよび/またはプラズマがチャンバ壁1611をエッチングしないように、ケイ素含有材(ケイ素、酸化ケイ素など)、炭素含有材(ダイヤモンドなど)、またはその組み合わせによって皮膜されてよい。化学吸着のための改質化学ガス(フッ素含有プラズマを生成するためのフッ素含有ガスなど)および/または蒸気曝露(Sn(acac)2など)は、チャンバ1601に流されてよい。いくつかの実施形態では、水素ガス1607がチャンバに流されて、スズ、フッ化スズ、または酸化スズの残留物を除去するための水素プラズマが生成されてよい。いくつかの実施形態では、チャンバ壁は、水素プラズマによる壁洗浄の効率性を支持するために加熱される。いくつかの実施形態では、装置は、1つ以上のチャンバを備えてよく、その各チャンバは、基板をエッチング、成膜、または処理するのに用いられてよい。チャンバまたは装置は、チャンバ圧、不活性ガス流、プラズマ電力、プラズマ周波数、反応性ガス流(例えば、フッ素含有ガス、Sn(acac)2蒸気)、バイアス電力、温度、真空設定、および他のプロセス条件の調節など、チャンバまたは装置の一部または全ての動作を制御するためのシステムコントローラ1623を備えてよい。
図17は、本開示の実施形態による、上述のシステムを制御するためのコントロールモジュール1700を示す。例えば、コントロールモジュール1700は、プロセッサ、メモリ、および1つ以上のインタフェースを備えてよい。コントロールモジュール1700は、検出値に一部基づいてシステムの制御デバイスに用いられてよい。例えのみでは、コントロールモジュール1700は、検出値および他の制御パラメータに基づいて、バルブ1702、フィルタヒータ1704、ポンプ1706、および他のデバイス1708の1つ以上を制御してよい。コントロールモジュール1700は、検出値を、例えのみでは、圧力計1710、流量計1712、温度センサ1714、および/または、他のセンサ1716から受信する。コントロールモジュール1700は、反応剤の供給中およびプラズマ処理中にプロセス条件を制御するために用いられてもよい。コントロールモジュール1700は、通常、1つ以上のメモリデバイスおよび1つ以上のプロセッサを備えるだろう。
コントロールモジュール1700は、反応剤供給システムおよびプラズマ処理装置の動作を制御してよい。コントロールモジュール1700は、プロセスのタイミング、供給システムの温度、フィルタ全体の圧力差、バルブ位置、ガス混合物、チャンバ圧、チャンバ温度、ウエハ温度、RF電力レベル、ウエハESCまたは台座位置、および特定のプロセスの他のパラメータを制御するための命令のセットを含むコンピュータプログラムを実行する。コントロールモジュール1700は、圧力差を監視し、蒸気反応剤の供給を1つ以上の経路から1つ以上の他の経路に自動的に切り替えてもよい。コントロールモジュール1700に関連付けられたメモリデバイスに格納された他のコンピュータプログラムは、いくつかの実施形態で用いられてよい。
通常、コントロールモジュール1700に関連付けられたユーザインタフェースがあるだろう。ユーザインタフェースは、表示画面1718(例えば、装置および/またはプロセス条件の表示画面および/またはグラフィックソフトウェア画面)、ならびに、ユーザ入力デバイス1720(例えば、ポインティングデバイス、キーボード、タッチ画面、マイク)を含んでよい。
反応剤の供給、プラズマ処理、および、工程順序における他のプロセスを制御するためのコンピュータプログラムは、任意の従来のコンピュータ可読プログラミング言語、例えば、アセンブリ言語、C、C++、パスカル、フォートラン、他で書き込まれうる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムに識別されたタスクを実行するためにプロセッサによって実行される。
コントロールモジュールパラメータは、例えば、フィルタ圧力差、プロセスガスの組成および流量、温度、圧力、RF電力レベルや低周波RF周波数などのプラズマ条件、冷却ガス圧、およびチャンバ壁温度などのプロセス条件に関する。
システムソフトウェハは、多くの異なる方法で設計または構成されてよい。例えば、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトは、進歩性のある堆積プロセスを実行するのに必要なチャンバ構成要素の動作を制御するように書き込まれてよい。この目的のためのプログラム例またはプログラムセクションは、基板位置コード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードを含む。
前述の実施形態は、明確な理解のためにある程度詳細に説明されてきたが、特定の変更および修正が本開示の実施形態の範囲内で行われてよいことは明らかであろう。本実施形態のプロセス、システム、および装置を実行する多くの他の方法があることに留意されたい。従って、本実施形態は、例示的であって制限的とみなされるべきでなく、本明細書に記載の詳細に限定されない。本開示は、以下の形態により実現されてもよい。
[形態1]
基板上で原子層エッチング(ALE)を実施するための方法であって、
基板表面上で表面改質動作を実施することであって、前記表面改質動作は、前記基板表面の少なくとも1つの単層を改質層に変質するように構成され、バイアス電圧は、前記表面改質動作中に印加され、前記バイアス電圧は、前記表面改質動作によって変質された前記基板表面の深さを制御するように構成されていることと、
前記基板表面上で除去動作を実施することであって、前記除去動作は、前記改質層の少なくとも一部を前記基板表面から除去するように構成され、前記改質層の前記一部を除去することは、前記改質層の前記一部を揮発するように構成された配位子交換反応によって行われることと、
を含む、方法。
[形態2]
形態1に記載の方法であって、
前記表面改質動作は、イオンを前記バイアス電圧によって制御される前記深さまで前記基板表面に拡散するように構成されている、方法。
[形態3]
形態1に記載の方法であって、
前記バイアス電圧は、前記表面改質動作中に、前記表面改質動作によって変質される前記基板表面の前記深さを実現する大きさおよび期間を有するように構成されている、方法。
[形態4]
形態1に記載の方法であって、
前記深さは、前記基板の1つ以上の単層によって規定される、方法。
[形態5]
形態1に記載の方法であって、
前記バイアス電圧は、前記バイアス電圧の大きさに応じて、前記表面改質動作を主に等方的から主に異方的に転じさせるように構成されている、方法。
[形態6]
形態1に記載の方法であって、
前記バイアス電圧は、前記表面改質動作の一部の期間に印加され、その期間に、前記バイアス電圧は、前記ALEの異方性を増加させる垂直方向の深さ量を増加させるために印加され、一部の期間には、前記バイアス電圧は、前記ALEの等方性を増加させる非垂直方向の深さを増加させるためには印加されない、方法。
[形態7]
形態1に記載の方法であって、さらに、
前記除去動作に続いて、前記基板上でプラズマ処理を実施することであって、前記プラズマ処理は、前記除去動作および/または前記表面改質動作によって発生した残留物を前記基板表面から除去するように構成され、前記残留物は、前記プラズマ処理によって揮発される、方法。
[形態8]
形態7に記載の方法であって、
前記除去動作は、前記改質層の全体未満を前記基板表面から除去するように構成され、
前記方法は、さらに、前記改質層の前記全体が前記基板表面から除去されるまで、前記除去動作および前記プラズマ処理を繰り返すことを含む、方法。
[形態9]
形態8に記載の方法であって、さらに、
既定の厚さが前記基板表面からエッチングされるまで、前記表面改質動作と、前記除去動作と、前記プラズマ処理と、を繰り返すことを含む、方法。
[形態10]
形態1に記載の方法であって、
前記バイアス電圧は、約20Vから100Vの範囲である、方法。
[形態11]
形態1に記載の方法であって、
前記表面改質動作を実施することは、前記基板表面をフッ素含有プラズマに曝露することを含み、前記フッ素含有プラズマへの前記曝露は、前記基板表面の前記少なくとも1つの単層をフッ素種に変換するように構成されている、方法。
[形態12]
形態11に記載の方法であって、
前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属砒化物、または金属化合物を含み、
前記フッ素含有プラズマへの前記曝露は、金属フッ化物を形成する、方法。
[形態13]
形態11に記載の方法であって、
前記基板表面を前記フッ素含有プラズマに曝露することは、フッ素含有ガスを前記基板が配置されているチャンバに導入することと、プラズマを点火することとを含む方法。
[形態14]
形態13に記載の方法であって、
前記フッ素含有プラズマへの前記曝露は、約15秒よりも短い期間に、約10mTorrから500mTorrのチャンバ圧で実施される、方法。
[形態15]
形態11に記載の方法であって、
前記除去動作を実施することは、前記基板表面をアセチルアセトナートスズ(II)(Sn(acac) 2 )蒸気に曝露することを含み、前記Sn(acac) 2 蒸気への前記曝露は、前記改質層においてアセチルアセトナート(acac)配位子をフッ素原子と交換するように構成されている、方法。
[形態16]
形態15に記載の方法であって、
前記基板表面を前記Sn(acac) 2 に曝露することは、前記Sn(acac) 2 を蒸気として前記基板が配置されているチャンバに導入することを含む、方法。
[形態17]
形態16に記載の方法であって、
前記Sn(acac) 2 への前記曝露は、約1秒から30秒の期間に実施される、方法。
[形態18]
形態7に記載の方法であって、
前記プラズマ処理を実施することは、前記基板表面を水素プラズマに曝露することを含み、前記水素プラズマへの前記曝露は、前記基板表面上のスズ、フッ化スズ、または酸化スズの残留物を揮発するように構成されている、方法。
[形態19]
形態18に記載の方法であって、
前記基板表面を前記水素プラズマに曝露することは、水素ガスを前記基板が配置されているチャンバに導入することと、プラズマを点火することとを含む、方法。
[形態20]
形態19に記載の方法であって、
前記水素プラズマへの前記曝露は、約1秒から30秒の期間に実施される、方法。
[形態21]
形態1に記載の方法であって、
前記表面改質動作は、第1のチャンバで実施され、
前記除去動作は、第2のチャンバで実施される、方法。
[形態22]
基板上で原子層エッチング(ALE)を実施するための方法であって、
基板表面上で表面改質動作を実施することであって、前記表面改質動作は、前記基板表面の少なくとも1つの単層を改質層に変質する第1のプラズマに前記基板表面を曝露することを含み、バイアス電圧は、前記表面改質動作中に印加され、前記バイアス電圧は、前記表面改質動作によって変質された前記基板表面の深さを制御するように構成され、前記バイアス電圧は、前記基板表面を実質的にエッチングすることなく、イオンを前記第1プラズマから前記基板表面に向かって加速させるように構成されていることと、
前記基板表面上で除去動作を実施することであって、前記除去動作は、前記改質層の少なくとも一部を前記基板表面から除去することを含み、前記改質層の前記一部を除去することは、前記改質層の前記一部を揮発するように構成された配位子交換反応によって行われることと、
前記基板表面上で洗浄動作を実施することであって、前記洗浄動作は、前記除去動作によって発生した残留物を前記基板表面から除去することを含み、前記洗浄動作は、さらに、前記基板表面を第2のプラズマに曝露することを含み、前記残留物は、前記第2のプラズマへの前記曝露によって揮発されることと、
を含む、方法。

Claims (21)

  1. 基板上で原子層エッチング(ALE)を実施するための方法であって、
    基板表面上で表面改質動作を実施することであって、前記表面改質動作は、前記基板表面の少なくとも1つの単層を改質層に変質するように構成され、バイアス電圧は、前記表面改質動作中に印加され、前記バイアス電圧は、前記表面改質動作によって変質された前記基板表面の深さを制御するように構成されていることと、
    前記基板表面上で除去動作を実施することであって、前記除去動作は、前記改質層の少なくとも一部を前記基板表面から除去するように構成され、前記改質層の前記一部を除去することは、前記改質層の前記一部の脱着を行うために配位子交換反応および熱エネルギを付与することを含むことと、
    を含む、方法。
  2. 請求項に記載の方法であって、
    前記熱エネルギは、前記配位子交換反応と同時に付与される、方法。
  3. 請求項に記載の方法であって、
    前記熱エネルギは、前記配位子交換反応後に付与される、方法。
  4. 請求項1に記載の方法であって、
    前記表面改質動作は、イオンを前記バイアス電圧によって制御される前記深さまで前記基板表面に拡散するように構成されている、方法。
  5. 請求項1に記載の方法であって、
    前記バイアス電圧は、前記表面改質動作の一部の期間に印加され、その期間に、前記バイアス電圧は、前記ALEの異方性を増加させる垂直方向の深さ量を増加させるために印加され、一部の期間には、前記バイアス電圧は、前記ALEの等方性を増加させる非垂直方向の深さを増加させるためには印加されない、方法。
  6. 請求項1に記載の方法であって、
    前記バイアス電圧は、約100V以下である、方法。
  7. 請求項1に記載の方法であって、
    前記表面改質動作を実施することは、前記基板表面をプラズマに曝露することを含み、前記プラズマへの前記曝露は、前記基板表面の前記少なくとも1つの単層を前記改質層に変換するように構成されている、方法。
  8. 請求項に記載の方法であって、
    前記基板表面を前記プラズマに曝露することは、リモートプラズマ源を用いて前記プラズマを生成することと、前記プラズマを前記リモートプラズマ源から前記基板が配置されているチャンバに流すこととを含む、方法。
  9. 請求項に記載の方法であって、
    前記基板表面を前記プラズマに曝露することは、前記基板が配置されているチャンバに電力を誘導的に結合することを含む、方法。
  10. 請求項に記載の方法であって、
    前記基板表面を前記プラズマに曝露することは、前記基板が配置されているチャンバに電力を容量的に結合することを含む、方法。
  11. 請求項1に記載の方法であって、
    前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属砒化物、または金属化合物を含む、方法。
  12. 基板上で原子層エッチング(ALE)を実施するための方法であって、
    基板表面上で表面改質動作を実施することであって、前記表面改質動作は、前記基板表面の少なくとも1つの単層を改質層に変質する第1のプラズマに前記基板表面を曝露することを含み、バイアス電圧は、前記表面改質動作中に印加され、前記バイアス電圧は、前記表面改質動作によって変質された前記基板表面の深さを制御するように構成され、前記バイアス電圧は、前記基板表面を実質的にエッチングすることなく、イオンを前記第1プラズマから前記基板表面に向かって加速させるように構成されていることと、
    前記基板表面上で除去動作を実施することであって、前記除去動作は、前記改質層の少なくとも一部を前記基板表面から除去することを含み、前記改質層の前記一部を除去することは、前記改質層の前記一部の脱着を行うために配位子交換反応および熱エネルギを付与することを含むことと、
    前記基板表面上で洗浄動作を実施することであって、前記洗浄動作は、前記除去動作によって発生した残留物を前記基板表面から除去することを含み、前記洗浄動作は、さらに、前記基板表面を第2のプラズマに曝露することを含み、前記残留物は、前記第2のプラズマへの前記曝露によって揮発されることと、
    を含む、方法。
  13. 請求項12に記載の方法であって、
    前記熱エネルギは、前記配位子交換反応と同時に付与される、方法。
  14. 請求項12に記載の方法であって、
    前記熱エネルギは、前記配位子交換反応後に付与される、方法。
  15. 請求項12に記載の方法であって、
    前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属砒化物、または金属化合物を含む、方法。
  16. 基板上で原子層エッチング(ALE)を実施するための方法であって、
    基板表面上で表面改質動作を実施することであって、前記表面改質動作は、前記基板表面の少なくとも1つの単層を改質層に変質するハロゲン含有プラズマに前記基板表面を曝露することを含み、バイアス電圧は、前記表面改質動作中に印加され、前記バイアス電圧は、前記表面改質動作によって変質された前記基板表面の深さを制御するように構成され、前記バイアス電圧は、前記基板表面を実質的にエッチングすることなく、イオンを前記ハロゲン含有プラズマから前記基板表面に向かって加速させるように構成されていることと、
    前記基板表面上で除去動作を実施することであって、前記除去動作は、前記改質層の少なくとも一部を前記基板表面から除去することを含み、前記改質層の前記一部を除去することは、前記改質層の前記一部の脱着を行うために配位子交換反応および熱エネルギを付与することを含むことと、
    を含む、方法。
  17. 請求項16に記載の方法であって、
    前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属砒化物、または金属化合物を含み、
    前記ハロゲン含有プラズマへの前記曝露は、金属ハロゲン化物を形成する、方法。
  18. 請求項16に記載の方法であって、
    前記基板表面を前記ハロゲン含有プラズマに曝露することは、前記基板が配置されているチャンバにハロゲン含有ガスを導入することと、前記ハロゲン含有プラズマを点火することとを含む、方法。
  19. 請求項18に記載の方法であって、
    前記ハロゲン含有プラズマを点火することは、電力を前記ハロゲン含有ガスに誘導的に結合することを含む、方法。
  20. 請求項18に記載の方法であって、
    前記ハロゲン含有プラズマを点火することは、電力を前記ハロゲン含有ガスに容量的に結合することを含む、方法。
  21. 請求項に記載の方法であって、
    前記基板表面を前記プラズマに曝露することは、リモートプラズマ源を用いて前記プラズマを生成することと、前記プラズマを前記リモートプラズマ源から前記基板が配置されているチャンバに流すこととを含む、方法。
JP2022163834A 2017-02-27 2022-10-12 原子層エッチングにおける方向性の制御 Active JP7423723B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762464360P 2017-02-27 2017-02-27
US62/464,360 2017-02-27
US15/615,691 2017-06-06
US15/615,691 US10229837B2 (en) 2016-02-04 2017-06-06 Control of directionality in atomic layer etching
JP2019545328A JP7159180B2 (ja) 2017-02-27 2018-02-26 原子層エッチングにおける方向性の制御
PCT/US2018/019784 WO2018157090A1 (en) 2017-02-27 2018-02-26 Control of directionality in atomic layer etching

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019545328A Division JP7159180B2 (ja) 2017-02-27 2018-02-26 原子層エッチングにおける方向性の制御

Publications (2)

Publication Number Publication Date
JP2022185118A JP2022185118A (ja) 2022-12-13
JP7423723B2 true JP7423723B2 (ja) 2024-01-29

Family

ID=63246986

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019545328A Active JP7159180B2 (ja) 2017-02-27 2018-02-26 原子層エッチングにおける方向性の制御
JP2022163834A Active JP7423723B2 (ja) 2017-02-27 2022-10-12 原子層エッチングにおける方向性の制御

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019545328A Active JP7159180B2 (ja) 2017-02-27 2018-02-26 原子層エッチングにおける方向性の制御

Country Status (8)

Country Link
US (2) US10229837B2 (ja)
EP (1) EP3586357A4 (ja)
JP (2) JP7159180B2 (ja)
KR (1) KR20190115099A (ja)
CN (2) CN110337709B (ja)
SG (1) SG11201907625UA (ja)
TW (2) TWI760446B (ja)
WO (1) WO2018157090A1 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
KR102440504B1 (ko) * 2017-10-27 2022-09-06 현대자동차주식회사 이종 재질 접합을 위한 알루미늄 표면 처리 방법
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
US10847375B2 (en) * 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
JP7447093B2 (ja) * 2018-09-10 2024-03-11 ラム リサーチ コーポレーション 準安定活性ラジカル種を使用する原子層処置プロセス
CN113169056A (zh) 2018-11-19 2021-07-23 朗姆研究公司 用于钨的钼模板
JP7541983B2 (ja) 2019-01-15 2024-08-29 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
JP2022522226A (ja) 2019-04-11 2022-04-14 ラム リサーチ コーポレーション 高ステップカバレッジのタングステン堆積
CN115565867A (zh) 2019-06-27 2023-01-03 朗姆研究公司 交替蚀刻与钝化工艺
JP2022544931A (ja) 2019-08-12 2022-10-24 ラム リサーチ コーポレーション タングステン堆積
TWI712122B (zh) * 2019-12-10 2020-12-01 樂盟科技有限公司 晶圓表面處理裝置及晶圓表面處理方法
KR20210079649A (ko) * 2019-12-20 2021-06-30 주식회사 원익아이피에스 원자층 식각 방법
KR102428642B1 (ko) * 2020-06-01 2022-08-02 인하대학교 산학협력단 구리 박막의 건식 식각방법
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
US11915941B2 (en) * 2021-02-11 2024-02-27 Tokyo Electron Limited Dynamically adjusted purge timing in wet atomic layer etching
TW202313212A (zh) * 2021-07-05 2023-04-01 日商東京威力科創股份有限公司 腔室或零件之清潔方法及基板處理裝置
KR20240027026A (ko) * 2021-07-05 2024-02-29 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US20230015080A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Metal oxide directional removal
WO2023107867A1 (en) * 2021-12-08 2023-06-15 Lam Research Corporation Control of etch profiles in high aspect ratio holes via thermal atomic layer etching
WO2023196437A1 (en) * 2022-04-06 2023-10-12 Lam Research Corporation Deposition of metal-containing films and chamber clean
KR20240006268A (ko) * 2022-07-06 2024-01-15 에스케이스페셜티 주식회사 금속 산화막의 원자층 식각 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170053810A1 (en) 2015-08-19 2017-02-23 Lam Research Corporation Atomic layer etching of tungsten and other metals
JP6853065B2 (ja) 2016-03-01 2021-03-31 ラム リサーチ コーポレーションLam Research Corporation プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
JP7159180B2 (ja) 2017-02-27 2022-10-24 ラム リサーチ コーポレーション 原子層エッチングにおける方向性の制御

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
WO1997015069A1 (en) 1995-10-19 1997-04-24 Massachusetts Institute Of Technology Metals removal process
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6368517B1 (en) * 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
AU2003223472A1 (en) 2002-05-14 2003-12-02 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
TWI625424B (zh) * 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9431268B2 (en) * 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9633867B2 (en) * 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9892935B2 (en) 2015-05-28 2018-02-13 International Business Machines Corporation Limiting electronic package warpage with semiconductor chip lid and lid-ring
SG10201604524PA (en) * 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170053810A1 (en) 2015-08-19 2017-02-23 Lam Research Corporation Atomic layer etching of tungsten and other metals
JP6853065B2 (ja) 2016-03-01 2021-03-31 ラム リサーチ コーポレーションLam Research Corporation プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
JP7159180B2 (ja) 2017-02-27 2022-10-24 ラム リサーチ コーポレーション 原子層エッチングにおける方向性の制御

Also Published As

Publication number Publication date
TW202226369A (zh) 2022-07-01
KR20190115099A (ko) 2019-10-10
EP3586357A1 (en) 2020-01-01
TWI800279B (zh) 2023-04-21
TWI760446B (zh) 2022-04-11
TW201842575A (zh) 2018-12-01
EP3586357A4 (en) 2021-01-06
CN110337709B (zh) 2024-05-28
CN110337709A (zh) 2019-10-15
SG11201907625UA (en) 2019-09-27
US20180366343A9 (en) 2018-12-20
JP2020508579A (ja) 2020-03-19
US10229837B2 (en) 2019-03-12
JP2022185118A (ja) 2022-12-13
US20190157105A1 (en) 2019-05-23
WO2018157090A1 (en) 2018-08-30
CN118571754A (zh) 2024-08-30
US10559475B2 (en) 2020-02-11
US20180247832A1 (en) 2018-08-30
JP7159180B2 (ja) 2022-10-24

Similar Documents

Publication Publication Date Title
JP7423723B2 (ja) 原子層エッチングにおける方向性の制御
US10784118B2 (en) Atomic layer etching using a combination of plasma and vapor treatments
US10096487B2 (en) Atomic layer etching of tungsten and other metals
TWI788584B (zh) 循環蝕刻製程
TWI453814B (zh) 用於電漿蝕刻性能增強的方法
TW201937575A (zh) 半導體製程中之間隔物限定的直接圖案化方法
CN110739211B (zh) 使用等离子体改性的介电材料的选择性循环干式蚀刻工艺
KR20210149893A (ko) 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
Lin et al. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition
JP2023542898A (ja) プラズマエッチングのためのパッシベーション化学物質
TWI831850B (zh) 將半導體元件上的矽化鎳層圖案化之方法
TW202331839A (zh) 透過熱原子層蝕刻的高深寬比孔洞中之蝕刻輪廓的控制
Lin et al. Selective atomic layer etching of HfO
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240117

R150 Certificate of patent or registration of utility model

Ref document number: 7423723

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150