JP6853065B2 - プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング - Google Patents

プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング Download PDF

Info

Publication number
JP6853065B2
JP6853065B2 JP2017035708A JP2017035708A JP6853065B2 JP 6853065 B2 JP6853065 B2 JP 6853065B2 JP 2017035708 A JP2017035708 A JP 2017035708A JP 2017035708 A JP2017035708 A JP 2017035708A JP 6853065 B2 JP6853065 B2 JP 6853065B2
Authority
JP
Japan
Prior art keywords
substrate
plasma
exposing
substrate surface
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017035708A
Other languages
English (en)
Other versions
JP2017157836A5 (ja
JP2017157836A (ja
Inventor
アンドレアス・フィッシャー
トルステン・リル
リチャード・ジャネク
ジョン・ボニファス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017157836A publication Critical patent/JP2017157836A/ja
Publication of JP2017157836A5 publication Critical patent/JP2017157836A5/ja
Application granted granted Critical
Publication of JP6853065B2 publication Critical patent/JP6853065B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0142Processes for controlling etch progression not provided for in B81C2201/0136 - B81C2201/014
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の実施形態は、原子層エッチング(ALE)に関し、特に、プラズマおよび蒸気処理の組み合わせを用いた酸化アルミニウムのALEに関する。
半導体基板上の材料を、きめ細かく均一性およびエッチング速度を制御することによってエッチングする従来の技術には、限度がある。例えば、従来、半導体処理時に半導体基板上の材料をエッチングするのに反応性イオンエッチングが用いられ、反応性イオンエッチングを用いてエッチングされる材料のエッチング速度は、高周波プラズマ電力および化学反応の選択を調整することによって制御される。しかし、基板の上面にウエハプラズマシースが形成されるため、プラズマからのイオンは、通常、基板をエッチングするためにウエハの表面で加速される。これは異方性エッチングプロセスを引き起こし、材料の垂直面および水平面が同じ速度でエッチングされないことになる。その上、従来のエッチング工程の対象になる材料は、不均一になる可能性もある。従来技術の使用には、多くの場合、特定のリアクタ設計及び/または供給ガス送出および排出の修正だけでなく、チャンバまたはリアクタ壁、および静電チャック双方の温度分布の注視が含まれる。静電チャックは、処理時にウエハを保持して高度な均一エッチング速度の制御を達成できるウエハ保持体の一部であってよく、低効率で高価な基板処理を招く可能性がある。
いくつかの実施形態に従って、基板上で原子層エッチング(ALE)を実施するための方法が提供されている。この方法は、(a)基板の表面上で、基板表面の少なくとも1つの単分子層を改質層に変換するように構成された表面改質動作を実施することと、(b)基板表面上で、基板表面から改質層を除去するように構成された除去動作を実施することであって、改質層を除去することは、改質層を揮発させるように構成される配位子交換反応を介して起こることと、(c)除去動作に続いて、基板表面上で、基板表面上からの除去動作によって生成された残留物を除去するように構成されたプラズマ処理を実施することであって、残留物は、プラズマ処理によって揮発されることと、(d)基板表面から所定の厚さがエッチングされるまで、動作(a)から(c)を繰り返すこととを備える。
いくつかの実施形態では、表面改質動作を実施することは、基板表面をフッ素含有プラズマに曝露することを含み、フッ素含有プラズマへの曝露は、基板表面の少なくとも1つの単分子層をフッ素種に変換するように構成される。
いくつかの実施形態では、基板の表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、または金属ヒ化物を含み、フッ素含有プラズマへの曝露は、金属フッ化物を形成する。
いくつかの実施形態では、基板の表面をフッ素含有プラズマに曝露することは、フッ素含有ガスを基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む。
いくつかの実施形態では、フッ素含有プラズマへの曝露は、約15秒より短い期間に、約10から500mTorrのチャンバ圧力で実施される。
いくつかの実施形態では、除去動作を実施することは、基板表面をスズ(II)アセチルアセトネート(Sn(acac)2)蒸気に曝露することを含み、Sn(acac)2蒸気への暴露は、acac配位子を改質層のフッ素原子と交換するように構成される。
いくつかの実施形態では、基板の表面をSn(acac)2に曝露することは、Sn(acac)2を基板が配置されているチャンバ内に蒸気として導入することを含む。
いくつかの実施形態では、Sn(acac)2への暴露は、約1秒から30秒の期間に実施される。
いくつかの実施形態では、プラズマ処理を実施することは、基板表面を水素プラズマに曝露することを含み、水素プラズマへの曝露は、基板表面上で、スズ、フッ化スズ、または酸化スズの残留物を揮発させるように構成される。
いくつかの実施形態では、基板の表面を水素プラズマに曝露することは、水素ガスを基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む。
いくつかの実施形態では、水素プラズマへの曝露は、約1秒から30秒の期間に、通常は約5秒の期間に実施される。
いくつかの実施形態では、動作(a)は第1のチャンバで実施され、動作(b)は第2のチャンバで実施される。
いくつかの実施形態では、動作(d)は第1のチャンバで実施される。
いくつかの実施形態では、動作(d)は第3のチャンバで実施される。
いくつかの実施形態に従って、基板上で原子層エッチング(ALE)を実施するための方法が提供されている。この方法は、(a)基板の表面上で、基板表面の少なくとも1つの単分子層を改質層に変換するように構成された表面改質動作を実施することと、(b)基板表面上で、基板表面から改質層を除去するように構成された除去動作を実施することであって、改質層を除去することは、改質層を揮発させるように構成される配位子交換反応を介して起こることと、(c)所定回数のサイクルの間に、動作(a)および(b)を繰り返すことと、(d)動作(c)に続いて、基板表面上で、基板表面上からの除去動作によって生成された残留物を除去するように構成されたプラズマ処理を実施することであって、残留物は、プラズマ処理によって揮発されることと、(e)基板表面から所定の厚さがエッチングされるまで、動作(a)から(d)を繰り返すこととを備える。
いくつかの実施形態では、表面改質動作を実施することは、基板表面をフッ素含有プラズマに曝露することを含み、フッ素含有プラズマへの曝露は、基板表面の少なくとも1つの単分子層をフッ素種に変換するように構成され、除去動作を実施することは、基板表面をスズ(II)アセチルアセトネート(Sn(acac)2)蒸気に曝露することを含み、Sn(acac)2蒸気への曝露は、acac配位子を改質層のフッ素原子に交換するように構成され、プラズマ処理を実施することは、基板表面を水素プラズマに曝露することを含み、水素プラズマへの曝露は、基板表面上で、スズ、フッ化スズ、または酸化スズの残留物を揮発させるように構成される。
いくつかの実施形態では、基板の表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、または金属ヒ化物を含み、フッ素含有プラズマへの曝露は、金属フッ化物を形成する。
いくつかの実施形態では、フッ素含有プラズマへの曝露は、約15秒より短い期間に、約10から500mTorrのチャンバ圧力で実施され、Sn(acac)2への曝露は、約1から30秒の期間に、通常は約1秒の期間に実施され、水素プラズマへの曝露は、約1から30秒の期間に、通常は約5秒の期間に実施される。
本開示の実施形態に従ってALE工程順序を概念的に表す図。
本開示の実施形態に従ってALEサイクルの方法を表す図。
本開示の実施形態に従ってALEを実施する方法を表す工程フロー図。
本開示の実施形態に従ってALE動作を実施するための多室型チャンバを有する装置を概念的に表す図。
図3の実施形態に従うが、本開示の実施形態に従ってn回数のサイクルに達するまで繰り返されるフッ素曝露およびSn(acac)2曝露による方法を表す図。
本開示の実施形態に従ってクラスタツール600を表す図。
本開示の実施形態に従ってALEを実施するための例示的チャンバを表す図。
本開示の実施形態に従って上述のシステムを制御するための制御モジュールを示す図。
以下の説明では、本開示への十分な理解を提供するために多くの具体的な詳細が記載される。本開示の実施形態は、これらの具体的詳細のいくつかまたは全てなしに実施されてよい。その他の例では、本開示の実施形態を不必要に曖昧にしないように、周知の工程動作は詳細に説明されていない。本開示の実施形態は、具体的な実施形態と併せて説明されるが、本開示の実施形態を限定する意図はないことを理解されたい。
本明細書で提供されるのは、フッ素含有プラズマおよびスズ含有エッチング液を含む配位子交換機構を介して、金属酸化物(酸化アルミニウム(Al23)など)の等方性原子層エッチング(ALE)を実施する方法である。本明細書で説明される方法は、フッ素含有プラズマを用いて被エッチング材料の表面を改質することと、改質された表面をスズ(II)アセチルアセトネート(Sn(acac)2)蒸気に曝して自己制御式に材料を除去することとを含む。配位子交換反応は、プラズマなしでSn(acac)2蒸気を含む蒸着チャンバ内で持続される。
原子層エッチング(ALE)は、エッチング挙動の原子スケール制御のための1つの手段である。ALEは、繰り返し工程の一種である。ALEは、一連の自己制御反応を用いて材料の薄膜を除去する技術である。一般に、ALEは、あらゆる適した技術を用いて実施されてよい。原子層エッチング技術の例は、2014年11月11日発行の米国特許第8,883,028号、および2014年8月19日発行の米国特許第8,808,561号に記載されており、例示的な原子層エッチングおよびエッチング技術を説明する目的で本明細書に援用される。様々な実施形態では、ALEは、プラズマを用いて実施されてよい、または熱的に実施されてよい。
ALEは、表面改質動作(すなわち、基板表面上での反応性化学現象による化学吸着)によって行われ、続いて除去動作が行われてよい。かかる動作は、一定回数のサイクル繰り返されてよい。ALE時に、反応性化学現象および除去化学現象は、別々に基板に伝えられる。
図1A〜1Fは、本開示の実施形態に従ってALE工程順序を概念的に表している。
図1Aに示されるのは、未改質状態の基板の表面100の一部である。基板表面100の分子/原子の最外層102は、ALE工程で曝される。図1Bに示されるように、基板の表面層を機能化状態に変換するため表面変換/改質動作が実施される。例えば、表面層は、表面上に吸着または化学吸着できる表面変換反応剤104への曝露によって改質される。表面変換反応剤は、様々な実施形態では、表面層原子と反応して表面変換ステップに影響を与える分子または低エネルギラジカルを含むことができる。結果として生じる表面層は、分子の機能化された最外層106からなる図1Cに示され、続くALEステップを可能にする。反応が自己制御的であるため、基板表面の最外層のみ(または、実質的に最外層のみ)が変換される。いくつかの実施形態では、この表面改質は、表面種のハロゲン化合物への変換を伴う。いくつかの実施形態では、自己制御的な表面変換に続いて、チャンバがパージされて全ての反応副生成物または過剰な表面変換反応剤を除去する。
表面変換動作に続いて、図1Dに表されるように、配位子交換反応/動作が実施される。図の実施形態では、基板の改質された表面106は、配位子交換反応に影響を与える配位子含有反応剤108に曝される。配位子含有反応剤は、基板表面に吸着し、その配位子を先の表面改質/変換動作で形成された変換表面種106に転移させる。配位子は、分子/原子の改質表面層と結合し、図1Eに示される放出可能な配位子置換表面種110からなる反応生成物を形成する。
図1Fに示されるように、堆積によって、基板表面からの表面種110(配位子交換動作後の反応生成物)の最外層の除去が促進される。いくつかの実施形態では、放出は、配位子含有反応剤への曝露と同時に、または別のステップにおいて適用されうる熱エネルギの適用によって達成されうる。
「ALEサイクル」の概念は、本明細書の様々な実施形態の説明と関係する。一般に、ALEサイクルは、単分子層のエッチングなど1回のエッチング工程を実施するのに用いられる最小限の動作集合である。1サイクルの結果は、基板表面上の膜層の少なくともいくらかがエッチングされることである。通常、ALEサイクルは、反応層を形成する改質動作を含み、次にこの改質された層だけを除去またはエッチングする除去動作が続く。改質は、化学吸着機構、堆積機構、上面変換機構、または抽出機構を用いて実施されてよい。サイクルは、反応剤または副生成物の1つの一掃など一定の補助動作を含んでよい。一般に、サイクルには、固有の一連の動作の一例が含まれる。例として、図2は、ALEサイクルの方法を表している。この方法には、(i)反応ガスの供給(動作201)、(ii)チャンバからの反応ガスの任意的パージング(動作203)、(iii)除去ガスおよび任意的プラズマの供給(動作205)、および(iv)チャンバの任意的パージング(動作207)の動作が含まれる。ALEについてのさらなる説明および例は、2015年4月24日出願の、「INTEGRATING ATOMIC SCALE PROCESSES:ALD(ATOMIC LAYER DEPOSITION) AND ALE(ATOMIC LAYER ETCH)」と題する米国特許出願第14/696,254号に記載されており、原子層エッチング工程を説明するために本明細書で援用される。
図3には、開示の実施形態に従って実施される方法の工程フロー図が提供されている。動作301〜307時に、アルゴンガスなどの不活性ガスは、キャリアガスとして裏側に継続的に流入してよい。
動作301では、被エッチング材料を含む基板は、基板の表面を改質するためにフッ素含有プラズマに曝される。
フッ素含有プラズマは、フッ素含有ガスを導入し、プラズマを点弧することによって生成されてよい。例えば、いくつかの実施形態では、フッ素含有ガスは、四フッ化炭素(CF4)、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、フッ素(F2)、またはあらゆるフッ素含有ガスであってよい。様々な実施形態では、基板をエッチングするためにプラズマ中に多くのフッ素イオンを生成させるため、CF4がO2と共に導入されてよい。いくつかの実施形態では、フッ素含有プラズマを生成するためのチャンバへの全ガス流の約35%がO2ガスである。炭素を含むその他のフッ素含有ガスは、炭化物の形成を抑制するために別のガスと共に導入されるときは、いくつかの実施形態で用いられてよい。例えば、その他のフッ素含有ガスは、式Cxyzを有してよい(xは1以上の整数、yは0以上の整数、zは1以上の整数)。例には、フロロホルム(CHF3)およびジフルオロメタン(CH2F2)が含まれる。いくつかの実施形態では、フッ素含有ガスは、フッ素含有液を気化することで生成されてよい。
いくつかの実施形態では、基板はパターン化されない。様々な実施形態では、基板はパターン化されてよい。基板は、ブロッキング酸化物またはエッチング停止層などの追加ゲート層を含むトランジスタ構造を含んでよい。例えば、基板は、FinFETトランジスタのフィンを覆う酸化アルミニウム層を含んでよい。いくつかの実施形態では、基板は、金属酸化物のエッチング停止層が被エッチング材料になるように、形成されたトレンチの底に金属酸化物のエッチング停止層を有する3次元NAND構造を含んでよい。様々な実施形態では、基板上のフィーチャは、約1.5:1と約5:1の間のアスペクト比を有してよい。
動作301のプラズマは、in−situで生成されてよい、または遠隔プラズマであってよい。多くの実施形態では、プラズマは、誘導結合プラズマを生成するためにin−situで生成される。
様々な実施形態では、基板は、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、または金属の被エッチング層を含む。例には、酸化アルミニウム(Al23)および酸化ハフニウムが含まれる。多くの実施形態では、ケイ素含有材料(例えば、酸化シリコン、窒化シリコン、炭化シリコン、シリコン)は、開示の実施形態を用いてエッチングされなくてもよいことに注意されたい。開示の実施形態は、特にFinFETトランジスタ構造上のフィンを覆う犠牲ゲート酸化層などの材料をエッチングするときに、エッチングの選択性を達成するのに貢献する。開示の実施形態が様々な材料をエッチングするのに用いられてよいことが理解されたとしても、図1は酸化アルミニウムのエッチングに関して説明される。
様々な実施形態では、動作301は、基板表面の等方性改質を可能にするため、バイアスを印加することなく実施されてよい。開示の実施形態が等方性エッチングの実施に用いられてよいとしても、異方性エッチング工程は、動作301中にバイアスを印加することによって開示の実施形態を用いて実施されてもよいことに注意されたい。図1に関して本実施形態で説明される例は、酸化アルミニウムの等方的エッチングのために説明される。
特定の理論に縛られることなく、動作301中に、酸化アルミニウム面などの酸化金属面は、フッ素含有プラズマによって等方的にフッ素化され、酸化アルミニウムの表面を改質してフッ化アルミニウム(例えば、AlF3)を形成してよい。酸化アルミニウムの1つ以上の単一層は、フッ化アルミニウムを形成するために改質されてよい。改質動作は、拡散の深さによって限定されてよい。基板は、約10mTorrと約100mTorrの間のチャンバ圧(例えば、約15秒より短く0秒より長い期間に約20mTorr)でフッ素含有プラズマに曝されてよい。
いくつかの実施形態では、動作301の実施後、基板を収容するチャンバはパージされなくてよいことに注意されたい。いくつかの実施形態では、基板はパージされてよい。
動作303では、基板は、スズ(II)アセチルアセトネート(Sn(acac)2)蒸気に曝される。様々な実施形態では、Sn(acac)2は、蒸気を基板に供給する前に、外部気化器で気化されてよい。
特定の理論に縛られることなく、改質されたAlF3の表面がSn(acac)2蒸気に曝されるときは、Sn(acac)2上の1つのacac配位子がAlF3分子上の1つのフッ素原子を置き換えるように配位子交換反応が起こり、AlF2(acac)を形成する。次に、追加のSn(acac)2および/またはSn(acac)は、AlF2(acac)と再び2度反応して、第2および第3フッ素原子を(acac)と置き換え、揮発性であるため基板からエッチングされてよいAl(acac)3が生じる。配位子交換反応は、AlF3の上面単分子層(例えば、Sn(acac)2蒸気に曝される第1単分子層)においてより速いエッチング速度を有するように理論付けされるため、反応は自己制御式であり、スズ、フッ化スズ、酸化スズ、およびSn(acac)2は、被エッチング材料の表面上に積層し始めてよく、それによりAlF3の全ての改質された下層のさらなるエッチングが妨げられる。
様々な実施形態では、動作301および303は、同じチャンバで実施されてよい。動作303では、プラズマはオフされ、フッ素含有ガス流は、蒸気流をオンする前にオフされてよい。チャンバが動作303の前にパージされない場合は、プラズマなしのフッ素含有ガスの存在は、エッチング機構に影響を及ぼさないだろう。むしろ、被エッチング材料と反応しないように、また動作303で用いられる蒸気と反応しないように、フッ素含有ガスだけが選択されてよい。
いくつかの実施形態では、動作301および303は、同じ装置の別々のチャンバで実施されてよい。図4は、本開示の実施形態に従ってALE動作を実施するための複数のチャンバを有する装置を概念的に表している。様々な実施形態では、基板は、動作301でフッ素含有プラズマに曝露するための第1のチャンバ401と、Sn(acac)2蒸気に曝露するための第2のチャンバ403との間を往復または移動してよい。いくつかの実施形態では、第2のチャンバ403は、蒸着チャンバである。いくつかの実施形態では、第2のチャンバ403は、プラズマ源を備えない修正されたチャンバである。チャンバ間の基板の移動または往復は、真空を破ることなく実施されてよいことに注意されたい。
別の実施形態では、基板は、金属フッ化物に選択的であるが金属酸化物には反応しない気相の別の化学物質に曝されてよい。化学物質には、金属フッ化物と反応するときに、配位子に結合された金属を含む揮発性化合物を生成する1つ以上の配位子が含まれてよい。
動作303は、約200℃の温度に設定されたウエハを保持するウエハ保持体または台座の温度で、約1秒の期間に実施されてよい。様々な実施形態では、Sn(acac)2蒸気への曝露の終了時におけるチャンバ圧力は、約20mTorrであってよい。
動作305では、基板は、プラズマ処理に曝されてよい。特定の理論に縛られることなく、動作305は、動作303を実施することで堆積できる基板の表面上のスズ、フッ化スズ、または酸化スズの積層を揮発させるために実施される。基板の水素への曝露は、スズハイドレートを形成してよく、スズハイドレートは、選ばれた基板温度において揮発性であり、次に処理チャンバから排出されてよい。基板は、0秒より長く5秒より短い期間にプラズマ処理に曝されてよい。プラズマ曝露の期間は、表面上のスズの量に依存してよい。例えば、いくつかの実施形態では、スズの量は、発光スペクトルにおけるスズ線を評価することによって決定されてよい。いくつかの実施形態では、プラズマは、発光スペクトルのスズ線が消えるときにオフされてよい。いくつかの実施形態では、基板は、約5秒間プラズマに曝される。いくつかの実施形態では、基板は、約5秒より長い期間プラズマに曝される。様々な実施形態では、プラズマ処理は、水素ガスを導入することと、プラズマを点弧することとを含んでよい。動作305は、動作301および303と同じチャンバで実施されてよい。動作305は、基板を水素プラズマに曝露することによって実施されてよいが、いくつかの実施形態では、異なる化学現象を用いて被エッチング材料の表面上のスズまたは酸化スズの積層を除去してよいことに注意されたい。例えば、いくつかの実施形態では、アンモニア(NH)プラズマが用いられてよい。
いくつかの実施形態では、動作305は、別のチャンバで実施されてよい。例えば、いくつかの実施形態では、基板は、動作301が実施された第1のステーション/チャンバ401に移動もしくは往復してよい、または、動作305を実施するために第3のステーション/チャンバ405に移動または往復してよい。チャンバ間の基板の移動または往復は、真空を破ることなく実施されてよいことに注意されたい。
動作307では、エッチングされた量が所望のエッチング量を達成するのに十分であるかが決定される。所望の残存厚さが達成されていないときは、動作301〜305は任意で繰り返されてよい。いくつかの実施形態では、動作305は、動作301および303を実施するnサイクルごとに実施されるだけでよいことに注意されたい(nは、1以上の整数)。nが1の場合は、動作305は、毎サイクル実施される。様々な実施形態では、動作305は毎サイクル実施される。別の例では、nが2の場合、動作305は、(1)フッ素含有プラズマへの曝露、(2)Sn(acac)2蒸気への曝露、(3)フッ素含有プラズマへの曝露、(4)Sn(acac)2蒸気への曝露、(5)水素プラズマへの曝露、および(6)(1)〜(5)の繰り返しの動作が基板をエッチングするために実施されるように、動作301および303を実施する2サイクルごとに実施されてよい。
図5は、図3の実施形態に従った方法を表しているが、フッ素曝露(動作501)およびSn(acac)曝露(動作503)は、n回のサイクルに達する(動作505)まで繰り返される。次に、水素プラズマ曝露(動作507)が実施される。全ての順序は、所望のエッチング量が達成される(動作509)まで繰り返される。
開示の実施形態は、高度な均一性を有する高度に制御されたエッチング方法をもたらす。開示の実施形態は、様々な材料の等方性エッチングを実施するのに用いられてよく、約20Vbと約80Vbの間のバイアス電圧(例えば、約50Vb)でバイアスを印加することによって、異方性エッチングを実施するように修正されてもよい。
本明細書で説明される様々な実施形態は、カリフォルニア州フリーモントのラム・リサーチ・コーポレーションから入手可能なKiyoなどのプラズマエッチングチャンバで実施されてよい。様々な実施形態では、基板は、真空を破ることなくエッチングチャンバとベーパチャンバとの間を往復してよい。
開示の実施形態は、共にカリフォルニア州フリーモントのラム・リサーチ・コーポレーションから入手可能なKiyo(商標登録)またはFlexなどのあらゆる適したチャンバまたは装置で実施されてよい。いくつかの実施形態では、開示の実施形態は、1つ以上のステーションを備えるクラスタツールで実施されてよい。図6は、本開示の実施形態に従ってクラスタツール600を表している。様々な実施形態では、1つのステーション601は、エッチング用のモジュールを備えてよいが、別のステーション603は、蒸気への曝露用のモジュール(例えば、ベーパチャンバ)を備える。いくつかの実施形態では、第3ステーション605は、プラズマへの曝露用のモジュールを備える。
いくつかの実施形態では、誘導結合プラズマ(ICP)リアクタが用いられてよい。かかるICPリアクタは、2013年12月10日出願の、「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」と題する米国特許出願公開第2014/0170853号にも記載されており、本明細書で説明される技術の実施に適したICPリアクタを説明するために援用される。本明細書ではICPリアクタについて説明されるとしても、いくつかの実施形態では、容量結合プラズマリアクタが用いられてもよいことを理解されたい。図7に関して、例示的なエッチングチャンバまたは装置は、シャワーヘッドまたはノズル703を有するチャンバ701を備え、本明細書に説明される、フッ素含有ガス(705)、水素ガス(707)、またはSn(acac)2蒸気(709)もしくはその他の化学要素を、チャンバ701、チャンバ壁711、処理されるべき基板またはウエハ715を保持するチャック713であって、ウエハをチャックおよびデチャックするための静電電極を備え、RF電源717を用いて荷電されてよいチャック713、プラズマを生成するために電力をコイル721に供給するように構成されるRF電源719、ならびにガスを流入させるためのガス流入口に分配してよい。様々な実施形態では、チャンバ壁711は、耐フッ素性であってよい。例えば、チャンバ壁711は、フッ素含有ガスおよび/またはプラズマがチャンバ壁711をエッチングしないように、ケイ素含有材料(シリコンまたは酸化シリコンなど)、炭素含有材料(ダイアモンドなど)、またはこれらの組み合わせによって被覆されてよい。化学吸着用の改質化学ガス(フッ素含有プラズマを生成するためのフッ素含有ガスなど)および/または蒸気曝露(Sn(acac)2など)は、チャンバ701に流入してよい。いくつかの実施形態では、水素ガス707は、チャンバに流入して、スズまたは酸化スズの残留物を除去するための水素プラズマを生成してよい。いくつかの実施形態では、チャンバ壁は、水素プラズマによる壁面洗浄の効率化を助けるために熱せられる。いくつかの実施形態では、装置は1つ以上のチャンバを備え、それぞれはエッチング、堆積、または基板処理に用いられてよい。チャンバまたは装置は、チャンバ圧力、不活性ガス流、プラズマ電力、プラズマ周波数、反応ガス流(例えば、フッ素含有ガス、Sn(acac)2蒸気)、バイアス電力、温度、真空設定、およびその他の工程条件の調整などのチャンバまたは装置の動作のいくつかまたは全てを制御するためのシステム制御装置723を備えてよい。
図8は、本開示の実施形態に従って上述のシステムを制御するための制御モジュール800を示す。例えば、制御モジュール800は、プロセッサ、メモリ、および1つ以上のインターフェースを備えてよい。制御モジュール800は、検知された値に一部基づいてシステムの装置を制御するのに利用されてよい。例示のみでは、制御モジュール800は、弁802、フィルタヒータ804、ポンプ806、およびその他の装置808のうちの1つ以上を、検知された値およびその他の制御パラメータに基づいて制御してよい。制御モジュール800は、検知された値を、例示のみでは、圧力計810、流量計812、温度センサ814、および/またはその他のセンサ816から受信する。制御モジュール800は、反応剤の供給およびプラズマ処理時の工程条件を制御するのに利用されてもよい。制御モジュール800は、通常、1つ以上のメモリ装置および1つ以上のプロセッサを備える。
制御モジュール800は、反応剤供給システムおよびプラズマ処理装置の動作を制御してよい。制御モジュール800は、工程タイミングを制御するための命令、供給システム温度、フィルタにおける圧力差、弁位置、ガス混合物、チャンバ圧力、チャンバ温度、ウエハ温度、RF電力レベル、ウエハESCまたは台座位置、および特定の工程のその他のパラメータの設定を含むコンピュータプログラムを実行する。制御モジュール800は、圧力差を監視し、蒸気反応剤供給を1つ以上の流路から1つ以上のその他の流路に自動的に切り替えてもよい。いくつかの実施形態では、制御モジュール800に関連するメモリ装置に格納されたその他のコンピュータプログラムが利用されてよい。
通常は、制御モジュール800と関連づけられるユーザインターフェースがあるだろう。ユーザインターフェースは、ディスプレイ818(例えば、装置および/または工程条件の表示画面および/または画像用ソフトウェアディスプレイ)および、ポインティング装置、キーボード、タッチ画面、マイクなどのユーザ入力装置820を含んでよい。
反応剤の供給、プラズマ処理、および工程順序におけるその他の工程を制御するためのコンピュータプログラムは、従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートランほか)で書かれてよい。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムで識別されたタスクを行うためにプロセッサによって実行される。
制御モジュールパラメータは、例えば、フィルタ圧力差、処理ガス構成および流量、温度、圧力、RF電力レベルおよび低周波のRF周波数などのプラズマ条件、冷却ガス圧力、ならびにチャンバ壁温度の工程条件に関する。
システムソフトウェアは、多くの異なる方法で設計または構成されてよい。例えば、様々なチャンバ構成部品サブルーチンまたは制御オブジェクトは、進歩的な堆積工程を実行するのに必要なチャンバ構成部品の動作を制御するように書かれてよい。この目的のためのプログラムまたはプログラム部分の例には、基板位置決めコード、処理ガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードが含まれる。
上述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が本開示の実施形態の範囲内で実施されてよいことは明らかであろう。本実施形態の工程、システム、および装置の実施には多くの別の方法があることに注意されたい。従って、本実施形態は、限定ではなく例示と見なされるべきであり、本明細書に述べられる詳細に限定されるべきではない。
本発明は、以下の適用例としても実現可能である。
<適用例1>
基板上で原子層エッチング(ALE)を実施するための方法であって、
(a)前記基板の表面上で、前記基板表面の少なくとも1つの単分子層を改質層に変換するように構成された表面改質動作を実施することと、
(b)前記基板表面上で、前記基板表面から前記改質層を除去するように構成された除去動作を実施することであって、前記改質層を除去することは、前記改質層を揮発させるように構成された配位子交換反応を介して起こることと、
(c)前記除去動作に続いて、前記基板表面上で、前記基板表面からの前記除去動作によって生成された残留物を除去するように構成されたプラズマ処理を実施することであって、前記残留物は、前記プラズマ処理によって揮発されることと、
(d)前記基板表面から所定の厚さがエッチングされるまで、動作(a)から(c)を繰り返すことと
を備える、方法。
<適用例2>
適用例1に記載の方法であって、
前記表面改質動作を実施することは、前記基板表面をフッ素含有プラズマに曝露することを含み、前記フッ素含有プラズマへの前記曝露は、前記基板表面の前記少なくとも1つの単分子層をフッ素種に変換するように構成される、方法。
<適用例3>
適用例2に記載の方法であって、
前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、または金属ヒ化物を含み、
前記フッ素含有プラズマへの前記曝露は、金属フッ化物を形成する、方法。
<適用例4>
適用例2に記載の方法であって、
前記基板表面を前記フッ素含有プラズマに曝露することは、フッ素含有ガスを前記基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む、方法。
<適用例5>
適用例4に記載の方法であって、
前記フッ素含有プラズマへの前記曝露は、約15秒より短い期間に、約10mTorrから500mTorrのチャンバ圧力で実施される、方法。
<適用例6>
適用例2に記載の方法であって、
前記除去動作を実施することは、前記基板表面をスズ(II)アセチルアセトネート(Sn(acac) )蒸気に曝露することを含み、前記Sn(acac) 蒸気への前記曝露は、acac配位子を前記改質層のフッ素原子と交換するように構成される、方法。
<適用例7>
適用例6に記載の方法であって、
前記基板表面を前記Sn(acac) に曝露することは、前記Sn(acac) を前記基板が配置されているチャンバ内に蒸気として導入することを含む、方法。
<適用例8>
適用例7に記載の方法であって、
前記Sn(acac) への前記曝露は、約1秒から30秒の期間に実施される、方法。
<適用例9>
適用例6に記載の方法であって、
前記プラズマ処理を実施することは、前記基板表面を水素プラズマに曝露することを含み、前記水素プラズマへの前記曝露は、前記基板表面上で、スズ、フッ化スズ、または酸化スズの残留物を揮発させるように構成される、方法。
<適用例10>
適用例9に記載の方法であって、
前記基板表面を前記水素プラズマに曝露することは、水素ガスを前記基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む、方法。
<適用例11>
適用例10に記載の方法であって、
前記水素プラズマへの前記曝露は、約1秒から30秒の期間に実施される、方法。
<適用例12>
適用例1に記載の方法であって、
動作(a)は、第1のチャンバで実施され、
動作(b)は、第2のチャンバで実施される、方法。
<適用例13>
適用例12に記載の方法であって、
動作(d)は、前記第1のチャンバで実施される、方法。
<適用例14>
適用例12に記載の方法であって、
動作(d)は、第3のチャンバで実施される、方法。
<適用例15>
基板上で原子層エッチング(ALE)を実施するための方法であって、
(a)前記基板の表面上で、前記基板表面の少なくとも1つの単分子層を改質層に変換するように構成された表面改質動作を実施することと、
(b)前記基板表面上で、前記基板表面から前記改質層を除去するように構成された除去動作を実施することであって、前記改質層を除去することは、前記改質層を揮発させるように構成される配位子交換反応を介して起こることと、
(c)所定回数のサイクルの間、動作(a)および(b)を繰り返すことと、
(d)動作(c)に続いて、前記基板表面上で、前記基板表面からの前記除去動作によって生成された残留物を除去するように構成されたプラズマ処理を実施することであって、前記残留物は、前記プラズマ処理によって揮発されることと、
(e)前記基板表面から所定の厚さがエッチングされるまで、動作(a)から(d)を繰り返すことと
を備える、方法。
<適用例16>
適用例15に記載の方法であって、
前記表面改質動作を実施することは、前記基板表面をフッ素含有プラズマに曝露することを含み、前記フッ素含有プラズマへの前記曝露は、前記基板表面の前記少なくとも1つの単分子層をフッ素種に変換するように構成され、
前記除去動作を実施することは、前記基板表面をスズ(II)アセチルアセトネート(Sn(acac) )蒸気に曝露することを含み、前記Sn(acac) 蒸気への前記曝露は、acac配位子を前記改質層のフッ素原子と交換するように構成され、
前記プラズマ処理を実施することは、前記基板表面を水素プラズマに曝露することを含み、前記水素プラズマへの前記曝露は、前記基板表面上で、スズ、フッ化スズ、または酸化スズの残留物を揮発させるように構成される、方法。
<適用例17>
適用例16に記載の方法であって、
前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、または金属ヒ化物を含み、
前記フッ素含有プラズマへの前記曝露は、金属フッ化物を形成する、方法。
<適用例18>
適用例16に記載の方法であって、
前記フッ素含有プラズマへの前記曝露は、約15秒より短い期間に、約10mTorrから500mTorrのチャンバ圧力で実施され、
前記Sn(acac) への前記曝露は、約1秒から30秒の期間に実施され、
前記水素プラズマへの前記曝露は、約1秒から30秒の期間に実施される、方法。

Claims (20)

  1. 基板上で原子層エッチング(ALE)を実施するための方法であって、
    (a)前記基板の表面上で、前記基板表面の少なくとも1つの単分子層を改質層に変換するように構成された表面改質動作を実施することと、
    (b)前記基板表面上で、前記基板表面から前記改質層を除去するように構成された除去動作を実施することであって、前記改質層を除去することは、金属錯体と前記改質層の変換種との間で配位子交換反応起こるように前記基板表面を前記金属錯体に曝露することを含むことと、
    (c)前記除去動作に続いて、前記基板表面上で、前記基板表面の前記金属錯体への前記曝露から形成された残留物を除去するように構成されたプラズマ処理を実施することであって、前記残留物は、前記プラズマ処理によって揮発されることと、
    (d)前記基板表面から所定の厚さがエッチングされるまで、動作(a)から(c)を繰り返すことと
    を備える、方法。
  2. 請求項1に記載の方法であって、
    前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、または金属ヒ化物を含む、方法。
  3. 請求項1に記載の方法であって、
    前記表面改質動作を実施することは、前記基板表面をハロゲン含有プラズマに曝露することを含み、前記ハロゲン含有プラズマへの前記曝露は、前記基板表面の前記少なくとも1つの単分子層をハロゲン種に変換するように構成される、方法。
  4. 請求項に記載の方法であって、
    記基板表面を前記ハロゲン含有プラズマに曝露することは、ハロゲン含有ガスを前記基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む、方法。
  5. 請求項に記載の方法であって、
    前記基板表面を前記ハロゲン含有プラズマ曝露することは、前記ハロゲン含有プラズマを遠隔プラズマ源から受け取ることを含む、方法。
  6. 請求項に記載の方法であって、
    前記除去動作を実施することは、前記基板表面をスズ(II)アセチルアセトネート(Sn(acac)2)蒸気に曝露することを含み、前記Sn(acac)2蒸気への前記曝露は、acac配位子を前記改質層の原子と交換するように構成される、方法
  7. 請求項に記載の方法であって、
    前記プラズマ処理を実施することは、前記基板表面を水素プラズマに曝露することを含む、方法。
  8. 請求項に記載の方法であって、
    前記基板表面を前記水素プラズマに曝露することは、水素ガスを前記基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む、方法。
  9. 請求項に記載の方法であって、
    前記基板表面を前記水素プラズマ曝露することは、前記水素プラズマを遠隔プラズマ源から受け取ることを含む、方法。
  10. 請求項1に記載の方法であって、
    動作(a)は、第1のチャンバで実施され、
    動作(b)は、第2のチャンバで実施される、方法
  11. 基板上で原子層エッチング(ALE)を実施するための方法であって、
    (a)前記基板の表面上で、前記基板表面の少なくとも1つの単分子層を改質層に変換するように構成された表面改質動作を実施することと、
    (b)前記基板表面上で、前記基板表面から前記改質層を除去するように構成された除去動作を実施することであって、前記改質層を除去することは、金属錯体と前記改質層の変換種との間で配位子交換反応起こるように前記基板表面を前記金属錯体に曝露することを含むことと、
    (c)所定回数のサイクルの間、動作(a)および(b)を繰り返すことと、
    (d)動作(c)に続いて、前記基板表面上で、前記基板表面の前記金属錯体への前記曝露から形成された残留物を除去するように構成されたプラズマ処理を実施することであって、前記残留物は、前記プラズマ処理によって揮発されることと、
    (e)前記基板表面から所定の厚さがエッチングされるまで、動作(a)から(d)を繰り返すことと
    を備える、方法。
  12. 請求項11に記載の方法であって、
    前記基板表面は、金属、金属酸化物、金属窒化物、金属リン化物、金属硫化物、または金属ヒ化物を含む、方法。
  13. 請求項11に記載の方法であって、
    前記表面改質動作を実施することは、前記基板表面をハロゲン含有プラズマに曝露することを含み、前記ハロゲン含有プラズマへの前記曝露は、前記基板表面の前記少なくとも1つの単分子層をハロゲン種に変換するように構成され方法。
  14. 請求項13に記載の方法であって、
    前記基板表面を前記ハロゲン含有プラズマに曝露することは、ハロゲン含有ガスを前記基板が配置されているチャンバ内に導入し、プラズマを点弧することを含む、方法。
  15. 請求項13に記載の方法であって、
    前記基板表面を前記ハロゲン含有プラズマに曝露することは、前記ハロゲン含有プラズマを遠隔プラズマ源から受け取ることを含む、方法。
  16. 請求項11に記載の方法であって、
    前記除去動作を実施することは、前記基板表面をスズ(II)アセチルアセトネート(Sn(acac)2)蒸気に曝露することを含み、前記Sn(acac)2蒸気への前記曝露は、acac配位子を前記改質層の原子と交換するように構成され方法。
  17. 請求項11に記載の方法であって、
    前記プラズマ処理を実施することは、前記基板表面を水素プラズマに曝露することを含、方法。
  18. 請求項17に記載の方法であって、
    前記基板表面を前記水素プラズマに曝露することは、水素ガスを前記基板が配置されているチャンバ内に導入し、プラズマを点弧することを含、方法。
  19. 請求項17に記載の方法であって、
    前記基板表面を前記水素プラズマに曝露することは、前記水素プラズマを遠隔プラズマ源から受け取ることを含む、方法。
  20. 請求項11に記載の方法であって、
    動作(a)は、第1のチャンバで実施され、
    動作(b)は、第2のチャンバで実施される、方法。
JP2017035708A 2016-03-01 2017-02-28 プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング Active JP6853065B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662302003P 2016-03-01 2016-03-01
US62/302,003 2016-03-01
US201662438978P 2016-12-23 2016-12-23
US62/438,978 2016-12-23
US15/435,838 US10256108B2 (en) 2016-03-01 2017-02-17 Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US15/435,838 2017-02-17

Publications (3)

Publication Number Publication Date
JP2017157836A JP2017157836A (ja) 2017-09-07
JP2017157836A5 JP2017157836A5 (ja) 2020-04-30
JP6853065B2 true JP6853065B2 (ja) 2021-03-31

Family

ID=59723668

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017035708A Active JP6853065B2 (ja) 2016-03-01 2017-02-28 プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング

Country Status (5)

Country Link
US (2) US10256108B2 (ja)
JP (1) JP6853065B2 (ja)
KR (1) KR20170102429A (ja)
CN (2) CN107146755B (ja)
TW (1) TWI750151B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022185118A (ja) * 2017-02-27 2022-12-13 ラム リサーチ コーポレーション 原子層エッチングにおける方向性の制御

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10982336B2 (en) * 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
TWI757545B (zh) * 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10354887B2 (en) * 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
KR102440504B1 (ko) * 2017-10-27 2022-09-06 현대자동차주식회사 이종 재질 접합을 위한 알루미늄 표면 처리 방법
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102016927B1 (ko) * 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
US10529543B2 (en) 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
KR102476262B1 (ko) 2017-12-14 2022-12-08 어플라이드 머티어리얼스, 인코포레이티드 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들
JP6679642B2 (ja) * 2018-03-27 2020-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR20210011493A (ko) 2018-06-13 2021-02-01 램 리써치 코포레이션 고 종횡비 구조체들의 효율적인 세정 및 에칭
JP7461923B2 (ja) * 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US10840082B2 (en) * 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
JP7202230B2 (ja) * 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
KR20210078264A (ko) * 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
JP2022104085A (ja) * 2020-12-28 2022-07-08 株式会社Screenホールディングス 配線形成方法および基板処理装置
TW202247248A (zh) * 2021-02-03 2022-12-01 美商蘭姆研究公司 原子層蝕刻中的蝕刻選擇性控制
KR20230157231A (ko) * 2021-03-18 2023-11-16 램 리써치 코포레이션 인듐 갈륨 아연 옥사이드의 에칭
KR102646730B1 (ko) * 2021-10-06 2024-03-12 세메스 주식회사 원자층 식각 방법
JP2024046509A (ja) * 2022-09-22 2024-04-03 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、及び基板処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5389196A (en) 1992-01-30 1995-02-14 Massachusetts Institute Of Technology Methods for fabricating three-dimensional micro structures
US5368687A (en) 1993-03-15 1994-11-29 Micron Technology, Inc. Semiconductor processing method of etching insulating inorganic metal oxide materials and method of cleaning metals from the surface of semiconductor wafers
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
WO2004030049A2 (en) 2002-09-27 2004-04-08 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US20060003145A1 (en) 2004-02-04 2006-01-05 Hansen Carl L Ultra-smooth microfabricated pores on a planar substrate for integrated patch-clamping
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
TW200733227A (en) * 2006-02-21 2007-09-01 Applied Materials Inc Removal of silicon oxycarbide from substrates
TW200739716A (en) * 2006-02-27 2007-10-16 Applied Materials Inc Method for controlling corrosion of a substrate
US7585772B2 (en) 2006-07-26 2009-09-08 Freiberger Compound Materials Gmbh Process for smoothening III-N substrates
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9881807B2 (en) * 2015-03-30 2018-01-30 Tokyo Electron Limited Method for atomic layer etching

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022185118A (ja) * 2017-02-27 2022-12-13 ラム リサーチ コーポレーション 原子層エッチングにおける方向性の制御
JP7423723B2 (ja) 2017-02-27 2024-01-29 ラム リサーチ コーポレーション 原子層エッチングにおける方向性の制御

Also Published As

Publication number Publication date
US20190198345A1 (en) 2019-06-27
CN107146755B (zh) 2021-02-12
TW201738954A (zh) 2017-11-01
US10256108B2 (en) 2019-04-09
KR20170102429A (ko) 2017-09-11
US10784118B2 (en) 2020-09-22
CN107146755A (zh) 2017-09-08
US20170256416A1 (en) 2017-09-07
JP2017157836A (ja) 2017-09-07
CN113013032A (zh) 2021-06-22
TWI750151B (zh) 2021-12-21

Similar Documents

Publication Publication Date Title
JP6853065B2 (ja) プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
US10229837B2 (en) Control of directionality in atomic layer etching
JP7320168B2 (ja) デザイナー原子層エッチング
TWI791059B (zh) 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
TWI763759B (zh) 受暴露以供處理之基板的表面之原子層蝕刻之執行方法及系統
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
KR102670464B1 (ko) 피처리체를 처리하는 방법
KR102215970B1 (ko) 산할로겐화물을 사용한 원자층 에칭
JP2013503482A (ja) 炭素含有膜のシリコン選択的ドライエッチング
KR20210149893A (ko) 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
TW201818465A (zh) 被處理體之處理方法
TW201807748A (zh) 用於化學蝕刻矽的方法
CN109417029A (zh) 对被处理体进行处理的方法
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
KR20200127261A (ko) 탄소 막들의 원자 층 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170801

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210311

R150 Certificate of patent or registration of utility model

Ref document number: 6853065

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250