JP2004103971A - ダマシン処理方法、ダマシン処理装置および、ダマシン構造 - Google Patents

ダマシン処理方法、ダマシン処理装置および、ダマシン構造 Download PDF

Info

Publication number
JP2004103971A
JP2004103971A JP2002266371A JP2002266371A JP2004103971A JP 2004103971 A JP2004103971 A JP 2004103971A JP 2002266371 A JP2002266371 A JP 2002266371A JP 2002266371 A JP2002266371 A JP 2002266371A JP 2004103971 A JP2004103971 A JP 2004103971A
Authority
JP
Japan
Prior art keywords
copper
damascene
plug portion
plug
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002266371A
Other languages
English (en)
Other versions
JP2004103971A5 (ja
Inventor
Tetsunori Kaji
加治 哲徳
Yoichi Uchimaki
内牧 陽一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2002266371A priority Critical patent/JP2004103971A/ja
Priority to US10/365,642 priority patent/US20040053498A1/en
Priority to CNA031064477A priority patent/CN1482666A/zh
Priority to CNA2004100070621A priority patent/CN1527377A/zh
Priority to CNA2004100070636A priority patent/CN1527378A/zh
Priority to US10/787,460 priority patent/US20040166445A1/en
Priority to US10/787,438 priority patent/US20040166676A1/en
Publication of JP2004103971A publication Critical patent/JP2004103971A/ja
Publication of JP2004103971A5 publication Critical patent/JP2004103971A5/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】微細化が進んだ多層配線の配線抵抗を低く保ち集積回路の性能を高めるとともに、多層配線の生産性を向上する。
【解決手段】電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理装置において、low−k材をエッチング処理するエッチング処理室151Aと、エッチング処理した試料を真空中で搬送する真空搬送室153と、搬送された試料を受け入れる受入れ手段、電圧付与手段、および電圧で加速したイオンもしくは加速した該イオンを除電化した中性粒子をエッチング処理面に衝突させて炭化、窒化、臭化、ホウ化、還元、非晶質化あるいはこれらの組合せの表面改質による銅バリア処理を行う銅バリア処理室151Bと、および銅バリア処理されたエッチング処理面を有するプラグ部に銅を埋め込む高真空処理室151Cとを有することを特徴とするダマシン処理装置。
【選択図】    図1

Description

【0001】
【発明の属する技術分野】
本発明は、半導体や液晶などを有する試料のダマシン処理方法、およびこれを用いたダマシン処理装置、ダマシン構造、特に、多層配線を施すのに好適なダマシン処理方法、ダマシン処理装置、およびダマシン構造に関する
【0002】
【従来の技術】
半導体集積回路の設計ルールは、今後0.1μm以下へと引き続き急速に縮小することが予想されており、配線に起因する信号の遅れが、回路特性の高速化を図る上で大きなネックの一つになってきている。この解決のために、配線間の容量と配線抵抗とを低減すべく、デュアルダマシン工程やシングルダマシン工程によって、low−k材(比誘電率が3.0以下、好ましくは2.5以下)中に低抵抗率の導電材料である銅を埋め込んで、多層配線を行うことが試みられている(例えば、特許文献1および特許文献2参照)。
【0003】
その工程の例であるデュアルダマシン工程の例を、図10A)〜図10L)、および図11M)〜図11P)に示す。デュアルダマシン工程は、次のようなステップから成る。
図10A):第1の絶縁膜100中に下層配線101を埋込み、その上にエッチングストッパ用下層配線上絶縁膜102を形成する(Aステップ)。
図10B):下層配線上絶縁膜102上に第2の絶縁膜103を形成する(Bステップ)。
図10C):第2の絶縁膜103上にエッチングストッパ用の第3の絶縁膜104を形成する(Cステップ)。
図10D):第3の絶縁膜104上にフォトレジスト層105を形成後、フォトリソグラフィ技術を用いて、フォトレジスト層105に第1のマスク開口部106を形成する(Dステップ)。(第1のマスク開口部106は後述のプラグ部112の寸法に対応している。)
図10E):フォトレジスト層105をマスクとしてエッチングし、第3の絶縁膜104に第1の開口部107を形成する(Eステップ)。
図10F):第3の絶縁膜104上、および第1の開口部107の第2の絶縁膜103上に、第4の絶縁膜108を形成する(Fステップ)。
図10G):第4の絶縁膜108上に、フォトレジスト層109を形成し、フォトリソグラフィ技術を用いてフォトレジスト層109に第2のマスク開口部110を形成する(Gステップ)。(第2のマスク開口部110は、後述の溝部113の寸法に対応している。)
図10H):フォトレジスト層110をマスクとして第4の絶縁膜108をエッチングし、第4の絶縁膜108に第2の開口部111を形成する(Hステップ)。
図10I):パターニングした第3の絶縁膜104をマスクとして、第2の絶縁膜103を所定の深さまでエッチングする(Iステップ)。
図10J):パターニングした第4の絶縁膜108をマスクとして、第3の絶縁膜104をエッチングする(Jステップ)。
図10K):第4の絶縁膜108および第3の絶縁膜104をマスクにして第2の絶縁膜103をさらにエッチングする(Kステップ)。このときプラグ部112もエッチングが進行するため、溝部113ならびにプラグ部112が形成される。
図10L):プラグ部112の下部の下層配線上絶縁膜102を、プラグ部112をマスクにエッチングし、下層配線上絶縁膜102に第3の開口部116を形成する(Lステップ)。
図11M):溝部113、プラグ部112および第3の開口部116の内壁、および第3の開口部下の下層配線101表面ならびに第4の絶膜108表面からなる試料の露出面上に、20〜50nmのバリアメタル層114を形成する(Mステップ)。
図11N):バリアメタル層114上に導電性材料である銅のシード層を成膜後、溝部113およびプラグ部112に導電材料115である銅を埋め込む(Nステップ)。
図11P):CMP(Chemical−Mechanical−Polishing)を用いて、この導電性材料115の銅薄膜の表面を平坦化する(Pステップ)。
【0004】
第1の絶縁膜100および第2の絶縁膜103はlow−k材(比誘電率が3.0以下、好ましくは2.5以下)で構成され、下層配線上絶縁膜102、第3の絶縁膜104および第4の絶縁膜108はSiNやSiC等が用いられエッチング阻止機能を有し、バリア層114はTiNなどの金属が、現状、主に用いられ導電材料115成分のlow−k材部への拡散(diffusion)阻止ならびにlow−k材部から導電材料への酸素や弗素成分等の拡散(diffusion)阻止を行なっている。また、第4の絶縁膜108等を省略できるプロセスも報告されている。
【0005】
なお、図10B)から図10D)の前半までは、成膜装置(スパッタ装置やCVD装置)で処理する。Dステップの後半はリソグラフィ装置で処理する。図10E)はエッチング装置で処理する。図10F)から図10G)の前半は成膜装置で処理する。図10G)の後半はリソグラフィ装置で処理する。図10H)から図10L)はエッチング装置で処理する。図11M)は成膜装置で処理する。図11N)は成膜装置もしくはメッキ装置で処理する。図11P)はCMP装置で処理する。
【0006】
なお、図10および図11中で、クリーニングやフォトレジストのアッシングなど、詳細部分は一部省略している。シングルあるいはデュアルダマシン方法による配線材料である銅の埋め込みは、この外にも、色々なプロセスが考えられているが、銅に対するバリアとしては、いずれも、溝加工やプラグ加工後にTiNなどのバリアメタル層を成膜し、その後銅の埋め込みを行うことが一般に行われている(例えば、特許文献3,4,5参照)。
【0007】
上記特許文献で述べられているような従来技術では、溝部113およびプラグ部112の底面および側壁、ならびに試料の全体表面に、銅に比べ高い比抵抗率のバリアメタル層(現状では30〜100nm厚)114を成膜させている。このため、溝部113およびプラグ部112では、これらの側壁に成膜されたバリアメタル層114の膜厚分だけ、低い比抵抗率の銅の導電材部分の溝幅やプラグ直径が減り、ひいては配線抵抗が増加する原因になってくる欠点があった。また、下層の銅配線(図11の101に対応)とその上の銅配線(図11の115に対応)との間に、銅に比べて高抵抗であるプラグ部底面のバリアメタル層114が挿入されるため、上下銅配線層間の接触抵抗が増加する欠点があった。
【0008】
2010年、2016年には集積回路の設計ルールはそれぞれ45nmおよび22nmになることが予想されており、そのときの溝幅およびプラグ径は、それぞれ100nm程度以下、および50nm程度以下になってくる。バリアメタル層は溝や孔の両側を含む全面に成膜する必要があるため、このように微細化がすすんでくると、バリアメタル成膜層に起因した配線抵抗の増加の影響は顕著となり、集積回路の性能を大幅に低下させることになる。2010年、2016年には、バリアメタル層の厚みをそれぞれ5nmおよび2.5nmまで薄くして対応する予想となっているが、バリアメタル層の厚みが20nm程度以下ではlow−k材部への銅の拡散量が急増する現状から、障壁の高い目標といわざるをえない。
【0009】
low−k材部として、通常の平坦なlow−k材の膜の上に、kの値が5.5程度以下でバリア機能を有する80nm厚の平坦なSiCの膜を成膜した平坦な2層構造とし、平坦なSiC膜を成膜中に希ガスや窒素含有ガスを用い0.1〜25Torrでプラズマ処理し、酸素の拡散阻止性能を向上した膜を形成できることが知られている(例えば、特許文献6参照)。しかし、膜形成後のシングルあるいはデュアルダマシンの溝部やプラグ部のエッチング処理や、溝部やプラグ部形成後の処理については言及されていない。
【0010】
また、デュアルダマシンの溝部やプラグ部の形成後に、1mTorr〜50mTorr(0.133Pa〜6.6Pa)の圧力でN,NH等のガスと100W−2kWのRF/マイクロ波電力源を用いプラズマを発生させて等方的/異方的プラズマ処理を行い、弗化誘電体よりなるlow−k材中のデュアルダマシンの溝部やプラグ部の側壁部分に、化学反応により“擬炭化窒素層(pseudo−carbon nitride layer)”を形成し、銅に対するバリア機能を付与すること、さらに“擬炭化窒素層”の上に更に通常のメタルバリア層を成膜後、銅を象嵌(inlay)することが提案されている(例えば、特許文献7参照)。
【0011】
但し、1mTorr〜50mTorrのプラズマ処理のみでlow−k材の表面を充分に改質させることは難しく、改質の度合いは不充分でかつ改質される深さも1nm程度以下の浅いものとならざるを得ない。特に溝部やプラグ部の側壁には、加速されたイオン類はほとんど入射せず、無電荷の原子/分子が拡散で付着するのみであるため、図4a)に示すように、側壁の表面層(1nm程度未満)で不完全な反応が起きるに過ぎない。
【0012】
また、SiO膜を窒素プラズマで0.5nmの深さまでSiNに改質するのに300秒を要することが知られている(例えば、特許文献8参照)。このため、銅に対するバリア機能は、それだけでは不充分で、バリアメタル層を併用する必要があり、上に述べた従来のバリアメタル層使用時と同様な欠点を有していた。
【0013】
low−k材材自身にバリア性能を付加させる試みも進められているが、誘電率を低下させるためにlow−k材の密度は低下し原子間の結合力も低下する傾向にあり、low−k材のみで銅や酸素/弗素などの拡散を阻止するバリア機能を完備させることは、極めて困難な状況にある。
【0014】
また、上記従来技術では、エッチング装置で基板のlow−k材部(比誘電率が3.0以下、好ましくは2.5以下)の溝および/ないしはプラグ部の処理した後に、水分を含んだ大気圧部分を通って成膜装置に搬送されるため、エッチングされた溝部やプラグ部が劣化する欠点があった。
【0015】
今後のlow−k材を用いた多層配線を信頼性良く生産する点についての配慮がされておらず、歩留まりが低下するなど生産コストの面で問題があった。
【0016】
【特許文献1】
米国特許第6365506号明細書
【特許文献2】
国際公開第01/99182号パンフレット
【特許文献3】
米国特許第6100184号明細書
【特許文献4】
特開2000−232106号公報
【特許文献5】
米国特許第6344693号明細書
【特許文献6】
米国特許第2002/16085号明細書
【特許文献7】
米国特許第2002/0001952号明細書
【特許文献8】
特開2001−291866号公報
【0017】
【発明が解決しようとする課題】
本発明は、微細化が進んだ場合における多層配線の配線抵抗を低く保ち集積回路の性能を高めるとともに、多層配線の生産性を向上することを目的とする。
【0018】
low−k材としては、無機SOG(Spin−On Glass),有機SOG、有機ポリマー、多孔質材料、CVDによる成膜材(例えばSi―C)、その他の誘電率が3以下(好ましくは2.5以下)の誘電体やも含まれる。
【0019】
【課題を解決するための手段】
上記目的を達成するために、エッチング装置にてlow−k材を含む試料を処理した後(図10のKステップの状態)、同じ装置内にて、試料を大気圧にさらすことなく、エッチング処理した同じ処理室で、もしくは試料を真空中で搬送し別の処理室で、1kV〜50kV(好ましくは2kV〜20kV)の電圧で加速した、イオンや粒子を、エッチング処理後のlow−k材の露出面に衝突させて炭化、窒化、ホウ化、臭化、還元、非晶質化あるいはこれらの組合せの表面改質処理によるバリア処理を行うことによって達成される。
【0020】
なお、上記のバリア処理で、加速したイオンや粒子を試料に衝突させる時もしくはその前に、表面改質材質をlow−k材の露出面に成膜すると、成膜された物質と、加速したイオンや粒子の衝突との相互作用により、炭化、窒化、ホウ化、臭化、還元、非晶質化あるいはこれらの組合せの表面改質処理が促進される利点がある。
【0021】
すなわち、加速したイオンや粒子の衝突により、イオンや粒子自身もしくはイオンや粒子が衝突する部分に存在する物質やlow−k材の一部を、low−k材の表面より3nm〜50nm(好ましくは5〜30nm)にまで打ち込む(implant)とともに、加速したイオンや粒子の衝突時のエネルギーにより極所的に高温に加熱され、打ち込まれた粒子とlow−k材間、打ち込まれた粒子同士、low−k材同士の結合が大幅に促進され強固なものとなり、炭化、窒化、ホウ化、臭化、還元、非晶質化中ないしはこれらの組合せの表面改質処理によるバリア処理が促進される。
【0022】
本発明では、打ち込むイオンや粒子としては、炭素、窒素、ホウ素、臭素、シリコン、水素、酸素、あるいはこれらを含む化合物やイオン、ないしは希ガスや希ガスのイオンが含まれる。
【0023】
なお、粒子のエネルギーと粒子のlow−k材中への打ち込み深さの関係の概要は、図12に示すように、粒子のエネルギーが増大すると粒子の打ち込み深さは増大する(打ち込み深さは、打ち込まれた粒子濃度がピーク濃度の1/e;e=2.718;になる深さで示す)。打ち込み深さは試料の材質/打ち込む粒子によりある幅をもつ。本発明の適用範囲は加速電圧として1kV〜50kV(好ましくは2kV〜20kV)である。粒子加速衝突処理室を小型にするため、粒子加速電圧も50kV以下(好ましくは20kV以下)とし、3nm〜50nm(好ましくは5〜30nm)の加速粒子の進入深さで所望の表面改質処理によるバリア処理ないしは保護化処理を得るように構成している。
【0024】
上記処理を行なうにあたっては、加速された粒子を、試料中のシングルあるいはデュアルダマシンの溝部やプラグ部(側壁部分を含む)に衝突させるとともに、試料表面の温度を250℃ないしは450℃に加熱して、表面の改質を促進させる。
【0025】
圧力が0.1mTorr〜25Torrの通常のプラズマ処理で試料台にバイアス用の高周波もしくはパルス電源を接続すれば、高エネルギーのイオンの照射は可能となるが、プラズマシース中のイオンの直進性より、試料中の垂直な壁部分に高エネルギーのイオンを照射することは困難である。この課題は下記の三つの方法により解決できる。
▲1▼ アルゴンや窒素イオンの1気圧における平均自由工程は約60nmで、プラグ径と同程度以下にまで短くなってくる。2〜10気圧になるとイオンの平均自由工程は、プラグ径より充分短くなってくる。プラズマ処理圧力を大気圧程度ないしは大気圧の数倍程度に上昇させることにより、イオンの平均自由工程長やプラズマシース幅が溝幅やプラグ径以下となって溝やプラグ中にプラズマが入り込む。試料にバイアス電力を加えることにより、1kV〜50kV(好ましくは2kV〜20kV)の電圧で加速したイオンを、垂直な壁部分をふくめ、試料の表面全体に照射できる。
▲2▼ 試料の面積の1/4程度以上の面積を有する大面積イオン源から斜め方向成分を有し、かつ1kV〜50kV(好ましくは2kV〜20kV)で加速されたイオンビームを必要に応じ中和後取り出し、試料に照射する。加速粒子取り出し部と試料間で、顕著な衝突が起きなければ良く、3×10−2Pa程度以下の真空雰囲気にする。処理チャンバは小型化が可能であり、マルチチャンバシステムの一つの処理室として取りつけることが可能である。
▲3▼ 10−6Pa程度以下の高真空雰囲気中に試料を設置し、プラズマ源で生成されたイオンを1kV〜50kV(好ましくは2kV〜20kV)の電圧で加速し、必要に応じ所望のイオンを質量分離/中和後、試料に斜め方向に加速粒子を照射する。試料を回転あるいは/および移動させることにより試料の溝の壁やプラグ孔の側壁部分を含む試料表面全体に加速粒子を斜め方向から照射することができる。なお質量分離部を省いた枚葉式イオン注入装置をもちいれば、処理チャンバは小型化が可能であり、マルチチャンバシステムの一つの処理室として取りつけも容易になる。
【0026】
加速粒子ビーム併用によるCVD処理の改善に関し、上記▲2▼に類似の装置を用いた処理が米国特許第2001/0055649号明細書に記述されているが、バリア層形成に関しては従来例と同じく、溝加工やプラグ孔加工後にTiNなどのバリアメタルを成膜させるものである。加速粒子ビーム併用による改善効果は、下地の絶縁膜層とバリアメタル層との境界部分での接着性を改善するものであり、本発明とは目的効果実施内容ともに全く異なっている。
【0027】
なお、加速粒子によるlow−k膜のバリア性能付加により、low−k膜表面の誘電率は増加傾向となるが、その表面部分(3〜50nm)がlow−k膜全体に占める割合は少なく、表面部分の誘電率の上昇により集積回路中の配線間容量全体が顕著に増加することはない。
【0028】
また、以下では、導電性材料として銅を用いる場合について述べるが、導電性材料やダマシン構造などは何らこれらに限定されるものではなく、導電性材料と絶縁膜間での物質の拡散による性能悪化が生じる場合には、本発明は共通して適用できる。
【0029】
【発明の実施の形態】
以下、本発明の実施例を、図1、図2、図3、図6を用いて説明する。図1は、本発明にかかるダマシン処理装置の一例の構成を示す図である。本発明にかかるダマシン処理装置1は、真空搬送室153の周囲に複数個のゲートバルブ152A〜152Dを介して複数個の処理室151A〜151Dが配置される。処理室の少なくとも一つ(例えば151A)は、エッチング処理室であり、図10中の少なくとも図10I)、図10J)、図10L)のエッチング処理をおこなう。処理室の少なくとも他の一つ(例えば151B)は粒子加速衝突処理室となっており、エッチング処理室151Aと粒子加速衝突処理室151Bとの間では、試料は真空搬送室153を介して真空中を搬送される。
【0030】
大気圧雰囲気中に設置されミニエンバイロメント対応で試料を収納する複数のFOUP(Front Opening Unified Pod)158A,158Bから、清浄な大気圧雰囲気に設置された大気ロボット157により取り出し、複数のロック室155A,155Bに投入する。各ロック室155A,155Bは、大気と真空との間のバッファリングを行なっている。ロック室155Aまたは/および155Bに搬入された試料は、大気側ゲートバルブ156Aまたは/および156Bを閉じた後、ロック室に接続された真空ポンプにより排気し、所定圧力以下になった状態で真空側ゲートバルブ152Eまたは/および152Fを開き、真空搬送室153に設置されている真空ロボット154により、取り出し、所望の処理室(例えば151A)のゲートバルブ152Aを開けて処理室中の試料台6(図示せず)に搬入し、処理室のゲートバルブ152Aを閉じた後試料の処理を開始する。
【0031】
この処理室151Aでの処理が終了すると、再び処理室151Aのゲートバルブ152Aを開け真空ロボット154により試料を取り出し、つぎの所望の処理室(例えば151B)へ試料を搬送する。すべての処理が終了すると、試料投入と逆のルートで複数のFOUP158に試料が回収される。
【0032】
本発明の装置構成は何ら図1に限定されるものではなく、例えば直線状の共通の真空搬送路に複数の処理室がゲートバルブ(必要に応じ個別処理室専用の搬送装置が設置されていても良い)を介して設置されているシステム等も、本発明に適用することもできる。
【0033】
図2を用いて、露出面改質処理室の構造を説明する。露出面改質処理室1は、排気装置2と、ガス源3と、プラズマ生成用RF電源4と、コイル状アンテナ5と、試料台6と、バイアス印加用RF電源8とを有して構成され、試料台6上に試料7が搭載される。
【0034】
処理室151のうちの一つであるエッチング室(例えば151A)にてlow−k材をエッチングした試料7は、真空搬送室153を介して、処理室151のうちの他の処理室である図2に示す露出面改質処理室1(例えば151B)に運ばれてきて、試料台6上に載置される。この時の試料の断面は、図3a)(Kステップ)に示すように、従来例の図10K)(Kステップ)と類似な状態である。なお、下記に記述する図3b)(Kaステップ)に相当する露出面改質処理が、図10A)の処理中の「第1の絶縁膜100中に.下層配線101の埋込み用溝を作成時」に施こされており、下層配線101に隣接した第1の絶縁膜100中に、バリア層122‘が形成されている。
【0035】
露出面改質処理室1中は、排気装置2により真空状態にしたあと、ガス源3から流量調節器(図示せず)を経由して、所定ガスを所定量流入させながら露出面改質処理室1内の圧力が1気圧〜10気圧(1khectoPa〜10khectoPa)中の所定値となるように、排気速度を調整する。
【0036】
前のエッチングで行ったlow−k材の溝部やプラグ部表面のバリア処理として、炭化処理を行う場合には、使用ガスとしてはヘリウム、アルゴンなどの希ガスと炭素を多く含む炭化水素ガス類(例えば、メタンやアセチレンなど)との混合ガスを用いる。その後、10MHz〜100MHzの周波数のプラズマ生成用RF電源4をONし、コイル状アンテナ5を介して処理室1内に高周波電力を注入し、ガスをプラズマ化する。
【0037】
試料台6には、プラズマ生成用RF電源4の周波数より低い0.1MHz〜20MHzの周波数のバイアス印加用RF電源8を接続し、試料台6上の試料7の露出面に入ってくるイオンの加速エネルギーを1kV〜50kV(好ましくは2kV〜20kV)に制御する。なお、プラズマ生成用RF電源4出力やバイアス印加用RF電源8出力と、各負荷との間には整合用のマッチングボックスを使用する(図2では略する)。各マッチングボックス内には、直流分阻止用容量を設置している。
【0038】
プラズマ処理時(Kaステップ)の試料断面を図3b)に示す。処理圧力が高いため、プラズマ120は、径100nm以下のプラグ部112や溝部113の中にまで入り込んでくる。溝部113およびプラグ部112の側面および底面、下層配線上絶縁膜102露出面ならびに第4の絶膜膜108の表面を含む試料の全露出面と、プラズマ120との間には数nm〜数十nmのシースが形成される。プラズマ中で生成された希ガス/炭素/炭化水素のイオン121は、図3b)Kaステップ中の矢印で示すようにシースの各部分での厚み方向に、試料に印加された高周波バイアスにより、1kV〜50kV(好ましくは2kV〜20kV)で加速され、溝部113およびプラグ部112の側面および底面を含む試料の全露出面にほぼ直角方向に衝突する。
【0039】
この結果、希ガス/炭素/炭化水素イオンは、試料表面から3nm〜50nm程度の深さに侵入し、イオンの加速エネルギーによる局所的な加熱作用も加わり、試料表面材質とイオンとが効率的に化合/融合ないしは反応し、試料露出面ないしは3nm〜50nm(好ましくは5〜30nm)の深さまで、強固な結合であるSp3混成軌道(hybrid orbital)のC−C結合[ダイアモンド状結合(Diamond Like Carbon,DLCと略す)等]、Si−C結合もしくはC−H結合などが主体となった炭化層122が形成される。
【0040】
C−FおよびC−H結合からなるlow−k材に本発明を適用したときの模式図を、従来例の図4−a)と対応させて図4−b)に示す。注入されたC、C−Hとlow−k材との結合によりSp3混成軌道結合化(hybrid orbital bonding)が進むとともに、注入されたC、C−H同士もSp3混成軌道のC−C結合が進み、銅に対するバリア性能は上昇する。炭化層自身には不完全炭化の部分も存在するため、完全なバリア特性をもたせるには、炭化層として現状では数十原子層程度が必要である。この炭化の不完全性を改善してゆき、Sp3混成軌道結合をより完全にすることにより、炭素の侵入深さとして概略十数原子層程度にまで低減することができる。
【0041】
C−F、およびC−H結合からなる絶縁物の硬度は、例えば国際公開第01/40537号パンフレットなどにも記載されているように、弗素含有率(重量%)の増加とともに低下する(図5の一点鎖線で示す)。一方、銅濃度が1万分の1に低下するのに必要な上記絶縁物の膜厚は、弗素含有率の増加とともに急速に増大する(図5の実線で示す)。弗素含有率=0の点は、ほとんどが硬いSp3混成軌道のC−C結合をしているダイアモンド状炭素(DLC)に近い状態であり、一方、弗素含有率が30(重量%)以上の部分は、柔らかいSp2混成軌道のC−C結合が主体の部分である。
【0042】
CおよびC−H原子/分子を注入してゆくことにより、弗素は水素と結合し弗化水素ガスとなって排気されるとともに炭素の濃度が増大し、その結果として弗素含有率が低下し、硬いSp3混成軌道のC−C結合が増大し、より薄い膜厚にてバリア機能が強化されることがわかる。
【0043】
なお、処理ガス中に1〜5%程度のCOガスやCO2ガスを添加することにより、ダイアモンド状炭素(DLC)中の水素を除去し、C−C間結合がより強固となり、さらにバリア性能を向上することができる。
【0044】
Sp3混成軌道のC−C結合を増加させる炭化処理により、絶縁体の誘電率は増加傾向となる(例えば、特開平11−297686号公報など)。但し、本発明では、low−k材の露出面から3nm〜50nm(好ましくは5〜30nm)の深さまで炭化処理を行うものであり、この炭化処理部分がlow−k材の全体積に占める割合はわずかであり、この炭化処理による配線間の容量増加はほとんどない。
【0045】
この炭化により、low−k材料と導電材料間にバリア層が形成される。このバリア層は溝113やプラグ112の側面や底面に成膜させて形成するのではなく、もとのlow−k材料の表面を改質するものであり、導電材料を埋め込むための寸法は、図3b)Kaステップの処理によってはほとんど変化しない大きな特長がある。この炭化層は、導電体材料の銅との親和性が、あまり良くない。
【0046】
水素主体のガスや、珪素を含有するモノシラン(SiH)やジシラン(Si)等のガスで、バイアス電圧として1kV未満のイオン加速電圧を用い、水素イオン、珪素イオンあるいは水素化珪素イオンなどを本炭化層の露出面に衝突させるプラズマ処理(深さで1nm程度以下)を行えば、銅との親和性は大幅に改善され均一な銅の埋め込みが得られる。また、高エネルギーイオンの衝突により、low−k材の空隙部分に加速粒子が侵入するとともに、加速粒子のエネルギーにより表面付近のlow−k材原子ないしはlow−k材の露出面に堆積したCやCH成分が内部に叩き込まれ表面付近の密度が増し、炭層原子間結合がより強固なものとなり、バリア特性も向上する利点がある。
【0047】
なお、図3b)の処理時に試料露出面に導電材料が存在すると、加速された高エネルギーのイオンの衝突により導電材料が顕著にスパッタされ導電材表面が粗くなったり、他の部分へのダメージが問題となる。このため、図3b)の処理時においては導電材料101は下層配線上絶縁膜102でカバーされていることが必須条件となる。
【0048】
プラズマ発生手段は、図2の場合になんら限定されるものではなく、平行平板型、μ波印加型などを適用することもできる。
【0049】
処理室の圧力が高くなると、プラズマが不安定になる傾向があり、この場合には図6に示すように間欠的にプラズマを生成させると良い。所定期間(T1)プラズマ発生用高周波源4より高周波を出力し(図6上段)、所定期間(T2)高周波出力をゼロないしは低い値に低下させた後、再度高周波を出力させる。プラズマ発生用高周波源4からの出力の繰り返し周期(T0)としては1μs〜1ms(好ましくは10μs〜100μs)、高い高周波電力を出力する期間のデューティ(T1/T0)は10%〜80%、好ましくは20%〜50%で動作させる。
【0050】
間欠的プラズマ生成を行なう場合には、バイアス印加用高周波電源8も、パルス状に振幅変調した高周波を出力する(図6下段)。バイアス印加用高周波電源8パルスの出力タイミングは、プラズマ発生用高周波源4のパルスよりT3’だけ遅らせ、プラズマ密度が高いプラズマ発生用高周波源4からのパルス(T1)の後半ないしは、パルスOFF直後付近のタイミングに合わせて出力するのが好ましい。
【0051】
このT3’の期間には、放電によって生じたC成分やCH成分よりなる生成物やラジカルが表面に付着する。この付着後にバイアス印加用高周波電源8パルスにより加速した希ガス/炭素/炭化水素のイオンを照射することにより、効率良くlow−k材表面の改質(炭化)が進み、low−k材の表面より2nmないしは50nm程度入った部分までlow−k材と炭素との化合層あるいは炭素主体の層12ができる。
【0052】
なお、試料の表面をlow−k材の耐熱温度以下の300〜450度に保持しておくことにより、このlow−k材と炭素との結合は促進される。図3b)のlow−k材表面の改質処理が終了すると、真空搬送室153を介して処理室151のうちの一つであるエッチング室に試料7を真空搬送し、図3c)に示す下層配線上絶縁膜102をプラズマエッチングにより開口するとともに、プラズマクリーニングにより不要な堆積物を除去する。なお、図3c)のプラズマエッチング/クリーニング処理(L‘ステップ)は、圧力0.1Pa〜100Paの通常のエッチング/クリーニング処理条件で良い。但し、導電材料が露出する時点においては、導電材料のスパッタリングを避けるため、試料に加わるバイアス電圧は0.5kV以下(好ましくは0.2kV以下ないしは印加しない)に設定し、ラジカル主体の処理もしくは弱い加速のイオンとラジカルとの処理を行う。
【0053】
下層配線上絶縁膜102の上記開口処理が済んだ試料は、図1の、真空搬送室153、ロック室155、大気ロボット157を経由しFOUP158Aまたは158Bに収納される。このFOUP158Aまたは158Bを装置間大気搬送装置により成膜装置搬送し、試料に導電体材料である銅115の埋め込み(図3d))処理(Nステップ)を行う。その後試料を再度FOUPに収納し、装置間大気搬送装置によりCMP装置に搬送し、試料に平坦化(図3e))処理(Oステップ)を実施する。
【0054】
なお、図3c)のプラズマエッチング/クリーニング処理(L‘ステップ)を成膜装置側で行なうと、大気圧中における銅の露出面の酸化が防げるおで、さらに集積回路の特性が改善される利点がある。さらに、エッチング装置、露出面改質処理室1とともに成膜装置まで、一貫して真空搬送できる構成にすれば、エッチング装置と成膜装置との間の大気圧搬送の欠点は無くなる。
【0055】
本発明により、エッチング装置内で、low−k材の表面の改質処理によりバリア処理が終了し、バリアメタル層を成膜する必要がなくなるので、プラグ部に埋め込まれる銅の直径は、low−k材のエッチング寸法にまで広げられる。このため、従来に比較し銅配線部分の低抵抗化が図れ、集積度の高い集積回路中の信号の遅れが低減され、高集積でかつ高速の集積回路の製造が可能となる。
【0056】
また、大気圧領域を経て成膜装置に試料を搬送前に、バリア機能と表面保護機能とを有する表面改質処理が完了するので、大気中の水分や酸素等によるlow−k材の露出面の変質が避けられ、信頼性の良い多層配線が可能となる。
【0057】
同様に、バリア処理や表面保護処理として、窒化処理を行う場合には、使用ガスとしては窒素を多く含む窒素ガス類やアンモニア類と、ヘリウム、アルゴン、キセノンなどの希ガスとの混合ガスを用い、上に述べたようなプラズマ発生手段と試料台へのバイアス印加手段とを具備させることにより同様な効果が達成される。
【0058】
なお、窒化用ガスと、炭化用ガスやホウ化用ガスとを共に用いることにより、CN化やBN化の処理を行うこともできる。low−k材の性質にあわせ、バリア処理もしくは表面保護処理に適切な処理を、炭化、窒化、ホウ化、臭化、還元、非晶質化あるいはこれらの組合せのプラズマを用いた表面改質処理のなかから選択し使用する。
【0059】
図7a)b)を用いて、本発明の他の実施例を説明する。図10A)から図10K)までの処理は従来例と同じである。図10K)の処理が終わった試料7は、図1に示す真空搬送室153を介して、処理室151のうちの他の一つの処理室である図7b)に示す大面積加速ビーム処理室124まで真空中を搬送し、試料台6上に載置する。本処理室において、1kV〜50kV(好ましくは2kV〜20kV)で加速された炭素、炭化水素、アルゴンなどのイオンを除電し、大面積加速粒子ビーム123として、試料7の表面に対して斜め方向から照射する(図7a:KBステップ)。
【0060】
炭化水素、アルゴンなどの粒子の加速エネルギーによる局所的な加熱作用も加わり、試料露出面の溝部113やプラグ部112の表面材質と粒子とが効率的に化合/融合ないしは反応し、試料露出面ないしは3nm〜50nm(好ましくは5〜30nm)の深さまで、炭化層122が形成される。この炭化により、low−k材料と導電材料間のバリア層が形成される。このバリア層は溝113やプラグ112に追加膜を付着させて形成するのではなく、もとのlow−k材材料の表面を改質するものであり、導電材料を埋め込むための寸法は、図7a)のKBステップの処理によってはほとんど変化しない大きな特長がある。
【0061】
なお、本処理中に、試料7を搭載する試料台9を回転(大面積加速粒子ビーム123の直径が試料7の直径より小さい場合は平行移動を付加)させることにより、low−k材の露出面の炭化処理を均一に行うことができる。
【0062】
図7b)に、大面積粒子ビーム取出装置132の一例を示す。大面積粒子ビーム取出装置132は、イオン源用RF電源126と、プラズマ生成部127と、引出電極128〜130と、帯電除去用電子シャワー131を有して構成される。
【0063】
プラズマ生成部127では、コイル状アンテナに接続されたイオン源用RF電源126により、アルゴン/炭化水素ガス等の流入ガス125がプラズマ化され、高密度のアルゴン/炭素/炭化水素のイオンが生成する。プラズマ生成部127中のイオンの一部は、プラズマグリッド電極128より取出され、プラズマグリッド電極128と加速電極129との間の1kV〜50kV(好ましくは2kV〜20kV)の電圧で加速された後、接地電極130から取出され、帯電除去用電子シャワー131を通って、大面積加速粒子ビーム123を試料台6に搭載した試料7に衝突させる。
【0064】
プラズマ生成部127の排気と、大面積粒子ビーム取出装置132の全体の排気とは、その真空度が異なるため別々に制御するのが望ましい。
【0065】
図10K)のエッチング処理として試料7の表面上に炭素を含有する薄膜がついた状態で終了し、真空中を搬送し大面積加速ビーム処理室124に設置後上記処理を行なえば、low−k材材料の表面の改質をより効率良く行なうことができる。
【0066】
なお、大面積粒子ビーム取出装置131のプラズマ発生方法は、上記方法に何ら制限されるものではなく、マイクロ波等の手段を用いてもよく、また、引き出し電極の電極数や構成も図7b)に何ら限定されるものではない。
【0067】
図8を用いて、[課題を解決するための手段]中▲3▼の方法を実施する装置の例を示す。この装置は、イオン源140と、質量分析部141と、加速減速部142と、角度補正器143とを有して構成される。
【0068】
イオン源140では炭化水素ガスをイオン化して炭素、炭化水素あるいは水素のイオンビームを取り出し、質量分析部141で所望の炭化水素イオンのみを選択し、加速減速部142にて1kV〜50kV(好ましくは2kV〜20kV)に加速後、角度補正器143により平行なビーム144にし、高真空処理室145に設置した試料7に対し、図7a)と同様に炭化水素ビーム123を斜めから投入する。
【0069】
試料7を設置した試料台6に回転と平行移動を加えることにより、試料7の面積より小さな断面積のビーム144を用いる場合においても、試料7の露出面全面に均一に炭化水素ビームが斜めから投入される。
【0070】
炭化水素、アルゴンなどの粒子の加速エネルギーによる局所的な加熱作用も加わり、試料露出面の溝部113やプラグ部112の表面材質と粒子とが効率的に化合/融合ないしは反応し、試料表面ないしは3nm〜50nm(好ましくは5〜30nm)の深さまで、炭化層122が形成される。図には示していないが、角度補正器144と試料7との間には帯電除去用電子シャワーを設置し、投入イオンを無電荷にする。
【0071】
上記炭化処理を実施後、質量分析部141の設定を変更して水素イオンム、珪素イオンあるいは水素化珪素イオンを取り出し、加速減速部142にて1kV以下(好ましくは0.5kV以下)で弱く加速し、角度補正器143と帯電除去用電子シャワーとを経由し、試料7に水素、珪素ないしは水素化珪素ビーム144を斜めから投入することにより、溝部113やプラグ部112の試料露出表面において、銅との親和性が、大幅に改善され均一な銅の埋め込みが得られる。
【0072】
なお、高真空処理室145では、10−6Pa程度以下の高真空雰囲気中に試料を設置しておく必要がある。エッチング室などが接続されているマルチチャンバの真空搬送室153に、図1に示すような一個のゲートバルブ152を介して高真空処理室145を接続した場合、真空搬送室153の真空度が高真空処理室145に必要とされる真空度に較べ大幅に悪いため、試料搬送時に高真空処理室145が汚染される危険性が高い。
【0073】
これをさけるためには、図9に示すように、マルチチャンバの真空搬送室153と高真空処理室145との間に、真空搬送室側ゲートバルブ152Cと高真空室側ゲートバルブ163の2つのゲートバルブを有する高真空バッファ室161を設ける。
【0074】
マルチチャンバの真空搬送室153から高真空処理室145へ試料の搬送を行なうときは、その間に試料を一度高真空バッファ室161に搬入し、両側のゲートバルブ152cおよび163を閉じて、真空搬送室用排気装置とは異なる排気装置にて高真空排気するステップを設けると良い。高真空バッファ室161の設置にともない、高真空バッファ室161や高真空処理室145の内部もしくはこれらの間に、試料を搬送する搬送ロボット162を追加する必要がある。このように構成することにより、真空搬送室153と高真空処理室145との間の真空搬送での汚染をなくすことができる。
【0075】
なお、試料を乗せた真空ロボット154のアームが通る高さ程度の狭い縦幅を有する高真空排気部160を設け、真空搬送室153と高真空処理室145との間での試料搬送時のゲートバルブ152D開口時、ならびに少なくともその前後に、高真空排気部160の上部と下部から高真空排気部160を高真空排気することによっても、真空搬送室153と高真空処理室145との間の真空搬送での汚染を大幅に低減することができる。
【0076】
真空ロボット154のアームが高真空処理室145の試料台上の試料をアクセスできるように、高真空排気部160の試料搬送方向の長さを短くすれば、搬送装置の追加設置は不要となる。
【0077】
また、高真空排気部160に、清浄な希ガスや窒素ガスを流入する口と、高真空に排気するする口とを高真空排気部160の上部および下部に設け、ゲートバルブ152D開口時、ならびに少なくともその前後に、高真空排気部160の上部と下部から清浄気体を流入させながら高真空排気部160を高真空排気することによっても、真空ロボット153と高真空処理室145との間の真空搬送での汚染を大幅に低減することができる。
【0078】
本実施例によれば、low−k材を用いた多層配線において、銅の配線抵抗を増大させることなく、かつ信頼性良く生産できる効果がある。
【0079】
【発明の効果】
本発明により、エッチング装置内、もしくはエッチング装置およびこれと真空搬送にて接続できる露出面改質装置を含むシステム内で、ダマシン用に加工処理済みのlow−k材の露出面の改質処理を行ない、バリア処理や表面保護処理が終了するため、バリアメタル層を成膜する必要がなくなる。したがって、プラグ部に埋め込まれる銅の直径は、low−k材のエッチング加工後の露出寸法にまで広げられる。このため、従来に比較し銅配線部分の低抵抗化が図れる。また、大気圧領域を経て成膜装置に試料を搬送前に、バリア機能と表面保護機能とを有する表面改質処理が完了するため、大気中の水分や酸素等によるlow−k材の露出面の変質が避けられ、信頼性の良い多層配線が可能となる。
【図面の簡単な説明】
【図1】本発明に適用可能な、マルチチャンバ装置の一例を示す図。
【図2】本発明の露出面改質用処理室の一実施例を示す図。
【図3】本発明の露出面改質処理シーケンスの例を示す図。
【図4】従来例と本発明との、露出面付近におけるlow−k材原子配列の例の比較を示す図。
【図5】CH結合とCF結合を有する絶縁物の、フッ素含有率に対する、硬さ(相対値)と、銅濃度が1/10000になる銅との接触面からの相対膜厚を説明する図。
【図6】図2の駆動状態の例を示す図。
【図7】大面積加速ビーム処理室を用いた、本発明の他の実施例を示す図。
【図8】高真空雰囲気に試料を設置する、本発明の他の実施例を示す図。
【図9】本発明に適用可能な、マルチチャンバ装置の他の一例を示す図。
【図10】従来例のダマシン加工を説明する図の一部。
【図11】従来例のダマシン加工を説明する図の残りの一部。
【図12】粒子加速エネルギーと粒子浸入深さの関係の概要と、本発明の適用範囲を示す図。
【符号の説明】
1:露出面改質処理室、2:排気装置、3:ガス源、
4:プラズマ生成用RF電源、5:コイル状アンテナ、6:試料台、
7:試料、8:バイアス印加用RF電源、100:第1の絶縁膜、
101:下層配線、102:下層配線上絶縁膜、103:第2の絶縁膜、104:第3の絶縁膜、105:フォトレジスト層、106:第1のマスク開口部、107:第1の開口部、108:第4の絶縁膜、
109:フォトレジスト層、110:第2のマスク開口部、111:第2の開口部、112:プラグ部、113:溝部、114:バリア層、115:導電材料、116:第3の開口部、120:プラズマ、121:イオン、122:炭化層、122‘:バリア層、123:大面積加速粒子ビーム、124:大面積加速粒子ビーム処理室、125:流入ガス、126:イオン源用RF電源、127:プラズマ,128−130:引出し電極、131:帯電除去用電子シャワー、132:大面積粒子ビーム取出装置、140:イオン源、141:質量分析部、142:加速原則部、143:角度補正器、144:ビーム、145:高真空処理室、151A〜151D:処理室、152A〜152E:ゲートバルブ、153:真空搬送室、154:真空ロボット、155A、155B:ロック室、156A、156B:ゲートバルブ、157:大気ロボット、158A、158B:FOUP、160:高真空排気部、161:高真空バッファ室、162:搬送ロボット、163:ゲートバルブ。

Claims (19)

  1. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理方法において、
    low−k材をエッチング処理した後、エッチング処理した同じ処理室、もしくは真空中を搬送して別の処理室で、電圧で加速したイオンもしくは加速した該イオンを除電化した中性粒子をエッチング処理面に衝突させて炭化、窒化、臭化、ホウ化、還元、非晶質化、あるいはこれらの組み合わせの表面改質処理による銅バリア処理をされたエッチング処理面を有するプラグ部に銅を埋め込むことを特徴とするダマシン処理方法。
  2. エッチング処理面に表面改質材質を成膜して、前記加速したイオンもしくは加速した該イオンを除電化した中性粒子を衝突させることを特徴とする請求項1に記載のダマシン処理方法。
  3. 1keV〜50keVの電圧でイオンを加速することを特徴とする請求項1に記載のダマシン処理方法。
  4. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理方法において、
    プラグ部に銅を埋め込む前に、プラグ部の内壁である側面部分および平面部分を、対銅バリア性非付与もしくは不完全付与の成膜処理およびエッチング処理によってプラグ部を形成し、形成されたプラグ部の側面部分および平面部分に、銅のバリアとなる成分を含むガスプラズマによるプラズマ処理によって銅バリア層を一括形成すること
    を特徴とするダマシン処理方法。
  5. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理方法において、
    内壁に、平面部分を介して大きな断面と小さな断面を有する2段の溝部を有するプラグ部に銅を埋め込む前に、プラグ部の内壁である側面部分および平面部分を、対銅バリア性非付与もしくは不完全付与の成膜処理およびエッチング処理によって形成し、形成された対銅バリア性非付与もしくは不完全付与のプラグ部の側面部分および平面部分に、銅バリアとなる成分を含むガスプラズマによるプラズマ処理によって銅バリア層を一括形成すること
    を特徴とするダマシン処理方法。
  6. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理方法において、
    プラグ部に銅を埋め込む前に、プラグ部の内壁である側面部分および平面部分を、対銅バリア性非付与もしくは不完全付与の成膜処理およびエッチング処理によって形成し、形成された対銅バリア性非付与もしくは不完全付与のプラグ部の側面部分および平面部分に、希ガスと、炭素原子含有ガス類、窒素原子含有ガス類、水素原子含有ガス類、臭素原子含有ガス類もしくはホウ素原子含有ガス類のいずれかとを少なくとも含む混合ガスで生成したガスプラズマによるプラズマ処理によって銅バリア層を一括形成すること
    を特徴とするダマシン処理方法。
  7. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理方法において、
    内壁に、平面部分を介して大きな断面と小さな断面を有する2段の溝部を有するプラグ部に銅を埋め込む前に、プラグ部の内壁である側面部分および平面部分を、対銅バリア性非付与もしくは不完全付与の成膜処理およびエッチング処理によって形成し、形成された対銅バリア性非付与もしくは不完全付与のプラグ部の側面部分および平面部分に、希ガスと炭化水素ガス類との混合ガスで生成したガスプラズマによるプラズマ処理によって銅バリア層を一括形成すること
    を特徴とするダマシン処理方法。
  8. 銅バリア層を3nm〜50nmの深さに形成することを特徴とする請求項4ないし請求項7のいずれか1項に記載のダマシン処理方法。
  9. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理方法において、
    プラグ部に銅を埋め込む前に、プラグ部の内壁である側面部分および平面部分を、対銅バリア性非付与もしくは不完全付与の成膜処理およびエッチング処理によって形成し、炭素原子含有ガス類、窒素原子含有ガス類、水素原子含有ガス類、臭素原子含有ガス類もしくはホウ素原子含有ガス類のいずれかを少なくとも含むガスをプラズマ化し該成分を含むイオンを生成し1keV〜50keVで加速させた後、衝突面に垂直な成分のエネルギーを有する粒子として、形成されたプラグ部の側面部および平面部の両部分に衝突させて銅バリア層を一括形成することを特徴とするダマシン処理方法。
  10. ガスのプラズマ化並びに、プラグ部の側面部および平面部の両部分への粒子の衝突を大気圧もしくはそれ以上の圧力にておこなうことを特徴とする請求項9に記載のダマシン処理方法。
  11. ガスのプラズマ化並びに、プラグ部の側面部および平面部の両部分への粒子の衝突を異なる圧力の減圧下にておこなうことを特徴とする請求項9に記載のダマシン処理方法。
  12. エッチング処理後に、試料を減圧下で搬送後、粒子の衝突処理をおこなうことを特徴とする請求項9に記載のダマシン処理方法。
  13. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成するダマシン処理装置において、
    low−k材をエッチング処理するエッチング処理室と、エッチング処理した試料を真空中で搬送する真空搬送室と、搬送された試料を受け入れる受入れ手段、電圧付与手段、および電圧で加速したイオンもしくは加速した該イオンを除電化した中性粒子をエッチング処理面に衝突させて炭化、窒化、臭化、ホウ化、還元、非晶質化あるいはこれらの組合せの表面改質による銅バリア処理を行う銅バリア処理室と、および該銅バリア処理されたエッチング処理面を有するプラグ部に銅を埋め込む高真空処理室とを有することを特徴とするダマシン処理装置。
  14. エッチング処理面に表面改質材質を成膜する成膜処理室を有することを特徴とする請求項13に記載のダマシン処理装置。
  15. 前記真空搬送室と前記高真空処理室との間に両側にゲート弁を有する高真空バッファ室を設けたことを特徴とする請求項13に記載のダマシン処理装置。
  16. 電気絶縁膜に形成したプラグ部に銅を埋め込んで形成する電気伝導性のダマシン構造において、プラグ部は、該プラグ部の内壁である側面部分および平面部分はそれらの表面から内部に向かって3nm〜50nmの深さに銅バリア層が形成され、かつ前記プラグ部に銅が埋め込まれていること
    を特徴とするダマシン構造。
  17. 電気絶縁膜に形成したプラグ部に銅を埋め込んで形成する電気伝導性のダマシン構造において、プラグ部は、平面部分を介して大きな断面と小さな断面を有する2段の溝部からなり、プラグ部は、プラグ部の内壁である側面部分および平面部分はそれらの表面から内部に向かって3nm〜50nmの深さに銅バリア層が形成され、かつ前記プラグ部に銅が埋め込まれていること
    を特徴とするダマシン構造。
  18. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成した試料において、0.1μm以下の幅のプラグ部の内壁である側面部分および平面部分に3nm〜50nmの銅バリア層が形成され、該バリア層が形成されたプラグ部に銅が埋め込まれたことを特徴とするダマシンを形成した試料。
  19. 電気絶縁膜に形成したプラグ部に銅を埋め込んで電気伝導性のダマシンを形成した試料において、0.1μm以下の幅のプラグ部の内壁である側面部分および平面部分に5nm〜30nmのバリア層が形成され、該バリア層が形成されたプラグ部に銅が埋め込まれたことを特徴とするダマシンを形成した試料。
JP2002266371A 2002-09-12 2002-09-12 ダマシン処理方法、ダマシン処理装置および、ダマシン構造 Withdrawn JP2004103971A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2002266371A JP2004103971A (ja) 2002-09-12 2002-09-12 ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US10/365,642 US20040053498A1 (en) 2002-09-12 2003-02-13 Method and apparatus for forming damascene structure, and damascene structure
CNA031064477A CN1482666A (zh) 2002-09-12 2003-02-27 镶嵌处理方法、镶嵌处理装置和镶嵌构造
CNA2004100070621A CN1527377A (zh) 2002-09-12 2003-02-27 镶嵌处理方法、镶嵌处理装置和镶嵌构造
CNA2004100070636A CN1527378A (zh) 2002-09-12 2003-02-27 镶嵌处理方法、镶嵌处理装置和镶嵌构造
US10/787,460 US20040166445A1 (en) 2002-09-12 2004-02-27 Method and apparatus for forming damascene structure, and damascene structure
US10/787,438 US20040166676A1 (en) 2002-09-12 2004-02-27 Method and apparatus for forming damascene structure, and damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002266371A JP2004103971A (ja) 2002-09-12 2002-09-12 ダマシン処理方法、ダマシン処理装置および、ダマシン構造

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2004051188A Division JP2004158891A (ja) 2004-02-26 2004-02-26 ダマシン構造およびダマシンを形成した試料
JP2004051187A Division JP2004158890A (ja) 2004-02-26 2004-02-26 ダマシン処理方法

Publications (2)

Publication Number Publication Date
JP2004103971A true JP2004103971A (ja) 2004-04-02
JP2004103971A5 JP2004103971A5 (ja) 2005-02-03

Family

ID=31986638

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002266371A Withdrawn JP2004103971A (ja) 2002-09-12 2002-09-12 ダマシン処理方法、ダマシン処理装置および、ダマシン構造

Country Status (3)

Country Link
US (3) US20040053498A1 (ja)
JP (1) JP2004103971A (ja)
CN (3) CN1482666A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7199044B2 (en) 2003-06-18 2007-04-03 Fujitsu Limited Method for manufacturing semiconductor device
JP2008047817A (ja) * 2006-08-21 2008-02-28 Fujitsu Ltd 半導体装置
WO2008078649A1 (ja) * 2006-12-22 2008-07-03 Nec Corporation 半導体装置およびその製造方法
JP2017501591A (ja) * 2013-12-16 2017-01-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理システムを使用した空隙構造の組込

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI253684B (en) * 2003-06-02 2006-04-21 Tokyo Electron Ltd Method and system for using ion implantation for treating a low-k dielectric film
TWI302720B (en) * 2003-07-23 2008-11-01 Tokyo Electron Ltd Method for using ion implantation to treat the sidewalls of a feature in a low-k dielectric film
US7714414B2 (en) * 2004-11-29 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for polymer dielectric surface recovery by ion implantation
US7268071B2 (en) * 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
JP5251033B2 (ja) * 2007-08-14 2013-07-31 ソニー株式会社 半導体装置の製造方法
US20100078814A1 (en) * 2008-09-29 2010-04-01 Roy Alok Nandini System and method for using porous low dielectric films
US7935627B1 (en) * 2009-03-05 2011-05-03 Yakov Shor Forming low dielectric constant dielectric materials
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9224643B2 (en) * 2011-09-19 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US9406614B2 (en) * 2013-03-08 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Material and process for copper barrier layer
US9460997B2 (en) * 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
JP6269276B2 (ja) * 2014-04-11 2018-01-31 豊田合成株式会社 半導体装置、半導体装置の製造方法
WO2015171335A1 (en) * 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing
KR102373650B1 (ko) * 2016-11-16 2022-03-14 니폰 덴키 가라스 가부시키가이샤 유리 기판의 제조 방법
JP6812264B2 (ja) * 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
CN108511629A (zh) * 2018-05-31 2018-09-07 京东方科技集团股份有限公司 Oled显示基板及其制作方法、显示装置
KR20210138927A (ko) * 2020-05-13 2021-11-22 에스케이하이닉스 주식회사 반도체 장치 제조방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5849367A (en) * 1996-12-11 1998-12-15 Texas Instruments Incorporated Elemental titanium-free liner and fabrication process for inter-metal connections
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6184550B1 (en) * 1998-08-28 2001-02-06 Advanced Technology Materials, Inc. Ternary nitride-carbide barrier layers
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
US6410457B1 (en) * 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
TW471107B (en) * 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
US6706611B2 (en) * 2000-12-06 2004-03-16 Macronix International Co., Ltd. Method for patterning a dual damascene with retrograde implantation
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
JP3648480B2 (ja) * 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6667231B1 (en) * 2002-07-12 2003-12-23 Texas Instruments Incorporated Method of forming barrier films for copper metallization over low dielectric constant insulators in an integrated circuit

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7199044B2 (en) 2003-06-18 2007-04-03 Fujitsu Limited Method for manufacturing semiconductor device
JP2008047817A (ja) * 2006-08-21 2008-02-28 Fujitsu Ltd 半導体装置
WO2008078649A1 (ja) * 2006-12-22 2008-07-03 Nec Corporation 半導体装置およびその製造方法
JP5267130B2 (ja) * 2006-12-22 2013-08-21 日本電気株式会社 半導体装置およびその製造方法
JP2017501591A (ja) * 2013-12-16 2017-01-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理システムを使用した空隙構造の組込

Also Published As

Publication number Publication date
US20040166445A1 (en) 2004-08-26
CN1482666A (zh) 2004-03-17
CN1527378A (zh) 2004-09-08
CN1527377A (zh) 2004-09-08
US20040166676A1 (en) 2004-08-26
US20040053498A1 (en) 2004-03-18

Similar Documents

Publication Publication Date Title
JP2004103971A (ja) ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US9214543B2 (en) Integration of bottom-up metal film deposition
US6734102B2 (en) Plasma treatment for copper oxide reduction
EP1898455B1 (en) Process for producing an interlayer insulating film
US20090104774A1 (en) Method of manufacturing a semiconductor device
KR20090036524A (ko) 다중레벨 상호접속 구조물에서 공기 갭을 형성하는 방법
US20060199373A1 (en) Method of manufacturing semiconductor device
KR100382387B1 (ko) 플라즈마 처리 방법
JP2003273212A (ja) 積層構造体およびその製造方法
JP3208124B2 (ja) 半導体装置、半導体装置の製造方法、および半導体装置の製造装置
TW202117802A (zh) 固化介電質材料的方法與設備
JP2004158891A (ja) ダマシン構造およびダマシンを形成した試料
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP2004158890A (ja) ダマシン処理方法
JP2006073612A (ja) レジスト除去方法
US9543191B2 (en) Wiring structure having interlayer insulating film and wiring line without a barrier layer between
JP3226498B2 (ja) 半導体装置およびその製造方法
JP2009117673A (ja) 半導体装置およびその製造方法
WO2005038896A1 (ja) プラズマエッチング方法
JP3584785B2 (ja) フッ素樹脂膜の形成方法および半導体装置並びにその製造方法
JP2000277611A (ja) 半導体装置の製造方法
KR100361203B1 (ko) 반도체 소자의 저유전 절연막 형성 방법
JPH1187267A (ja) 金属膜の形成方法および半導体装置の製造方法
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040226

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050131

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20060331