KR20210138927A - 반도체 장치 제조방법 - Google Patents

반도체 장치 제조방법 Download PDF

Info

Publication number
KR20210138927A
KR20210138927A KR1020200056991A KR20200056991A KR20210138927A KR 20210138927 A KR20210138927 A KR 20210138927A KR 1020200056991 A KR1020200056991 A KR 1020200056991A KR 20200056991 A KR20200056991 A KR 20200056991A KR 20210138927 A KR20210138927 A KR 20210138927A
Authority
KR
South Korea
Prior art keywords
carbon
layer
insulating layer
semiconductor device
forming
Prior art date
Application number
KR1020200056991A
Other languages
English (en)
Inventor
김정남
박진규
진일섭
하민호
Original Assignee
에스케이하이닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이하이닉스 주식회사 filed Critical 에스케이하이닉스 주식회사
Priority to KR1020200056991A priority Critical patent/KR20210138927A/ko
Priority to US16/998,403 priority patent/US20210358856A1/en
Priority to CN202010966003.6A priority patent/CN113675138A/zh
Publication of KR20210138927A publication Critical patent/KR20210138927A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 실시예들은 절연층의 유전율 감소를 통해 신뢰성을 개선할 수 있는 반도체 장치 제조 방법을 제공한다. 본 실시예에 따른 반도체 장치 제조 방법은 저유전층을 형성하는 단계; 상기 저유전층을 식각하여 패턴을 형성하는 단계; 및 상기 패턴 표면에 탄소 함유 물질을 주입하는 단계를 포함할 수 있다.

Description

반도체 장치 제조방법 {METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}
본 발명은 반도체 장치 제조 방법에 관한 것으로, 상세하게는 탄소 함유 절연층을 포함하는 반도체 장치 제조 방법에 관한 것이다.
반도체 소자의 고집적화에 따라 금속배선의 폭 및 콘택 면적이 감소하여 콘택 저항을 비롯한 금속배선의 저항이 점차 증가하며, 금속배선 및 콘택 플러그 간의 간격이 좁아짐에 따라 금속배선 사이의 절연층으로 인해 유발되는 기생 캐패시턴스가 증가하는 문제점이 있다.
이를 위해, 금속배선 사이에 저유전율을 갖는 절연층을 적용하고 있으나, 공정에 따른 유전율 상승 및 영률 감소 등의 문제가 여전히 존재한다.
본 실시예들은 신뢰성을 개선할 수 있는 반도체 장치 제조 방법을 제공한다.
본 실시예에 따른 반도체 장치 제조 방법은 저유전층을 형성하는 단계; 상기 저유전층을 식각하여 패턴을 형성하는 단계; 및 상기 패턴 표면에 탄소 함유 물질을 주입하는 단계를 포함할 수 있다.
또한, 본 실시예에 따른 반도체 장치 제조 방법은 탄소 함유 저유전층을 형성하는 단계; 상기 저유전층을 1차 식각하여 트렌치를 형성하는 단계; 상기 트렌치의 표면에 탄소 함유 물질을 주입하는 단계; 및 상기 트렌치 저면의 저유전층을 2차 식각하여 비아를 형성하는 단계를 포함할 수 있다.
또한, 본 실시예에 따른 반도체 장치 제조 방법은 절연층을 형성하는 단계; 상기 절연층에 탄소 함유 물질을 주입하는 단계; 상기 탄소 함유 절연층을 1차 식각하여 트렌치를 형성하는 단계; 및 상기 트렌치 저면의 탄소 함유 절연층을 2차 식각하여 비아를 형성하는 단계를 포함할 수 있다.
또한, 본 실시예에 따른 반도체 장치는 기판 상부에 형성된 제1도전층; 상기 제1도전층 상에 형성된 트렌치 및 비아를 포함하는 저유전층; 상기 트렌치 및 비아에 매립된 제2도전층; 및 상기 제2도전층과 접하는 상기 제2도전층의 트렌치 표면에 형성된 탄소 주입 영역을 포함할 수 있다.
본 실시예들은 탄소 함유 물질의 주입 공정을 통해 절연층의 유전율 감소시키고, 손상에 의한 절연층의 유전율 증가를 억제하여 반도체 장치의 신뢰성을 개선하는 효과가 있다.
도 1a 내지 도 1f는 본 실시예에 따른 반도체 장치 제조 방법을 나타내는 공정 단면도이다.
도 2a 내지 도 2g는 본 실시예에 따른 반도체 장치 제조 방법의 다른 실시예를 나타내는 공정 단면도이다.
본 명세서에서 기재하는 실시예들은 본 발명의 이상적인 개략도인 단면도, 평면도 및 블록도를 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이고, 발명의 범주를 제한하기 위한 것은 아니다.
도 1a 내지 도 1f는 본 실시예에 따른 반도체 장치 제조 방법의 다른 실시예를 나타내는 공정 단면도이다.
도 1a에 도시된 바와 같이, 반도체 기판(11) 상부에 제1금속배선(13)이 매립된 제1절연층(12)이 형성될 수 있다.
반도체 기판(11)은 게이트, 비트라인 및 캐패시터 등의 하부 구조물(미도시)이 형성된 반도체 기판일 수 있다. 반도체 기판(11)은 실리콘을 함유하는 물질로 이루어질 수 있다. 반도체 기판(11)은 실리콘, 단결정 실리콘, 폴리실리콘, 비정질 실리콘, 실리콘저마늄, 단결정 실리콘저마늄, 다결정 실리콘저마늄, 탄소 도핑된 실리콘, 그들의 조합 또는 그들의 다층을 포함할 수 있다. 반도체 기판(11)은 Ⅲ/Ⅴ족 반도체 기판, 예컨대, GaAs와 같은 화합물 반도체 기판을 포함할 수도 있다. 반도체 기판(11)은 SOI(Silicon On Insulator) 기판을 포함할 수도 있다.
제1절연층(12)은 실리콘산화물, 실리콘질화물 또는 실리콘 카본 및 보론을 포함하는 저유전 물질 중 하나로 형성될 수 있다.
제1금속배선(13)은 도전물질을 포함할 수 있다. 제1금속배선(13)은 금속물질을 포함할 수 있다. 제1금속배선(13)은 텅스텐, 구리 또는 알루미늄을 포함할 수 있다.
이어서, 제1금속배선(13)을 포함하는 제1절연층(12) 상에 식각정지층(14)이 형성될 수 있다. 식각정지층(14)은 제1금속배선(13)의 확산을 방지하기 위한 배리어 역할도 할 수 있다. 식각정지층(14)은 실리콘질화물 또는 실리콘카본을 포함할 수 있다.
이어서, 식각정지층(14) 상에 제2절연층(15)을 형성할 수 있다. 제2절연층(15)은 저유전 상수를 갖는 절연층(low-k dielectric)일 수 있다. 제2절연층(15)은 실리콘 산화막(SiO2)에 비해 더 낮은 유전상수를 갖는 유전물질, 바람직하게는 유전상수가 3.5 이하의 값을 가진 물질일 수 있다. 제2절연층(15)은 탄소를 함유하는 저유전층일 수 있다. 제2절연층(15)은 탄소가 15%∼30%로 함유된 유기실리케이트(OSG: organosilicate glass)일 수 있으나, 탄소 함유량이 이에 한정되지는 않는다. 제2절연층(15)은 예를 들어, SiCOH일 수 있다. SiCOH는 Si-C-O-H의 혼합물로서, 막 내에 전기적 분극성이 작은 원자인 수소(H)나 탄소(C)를 많이 함유할수록 유전상수가 낮아지는 특징을 갖는 물질이다.
다른 실시예에서, 제2절연층(15)은 식각정지층(14) 상에 실리콘 산화물을 형성한 후, 실리콘 산화물에 탄소 함유 물질의 주입 공정을 진행하여 유전 상수가 낮아진 저유전층을 포함할 수 있다. 예를 들어, 제2절연층(15)은 식각정지층(14) 상에 TEOS(Tetra Ethyl Ortho Silicate)를 형성한 후, TEOS에 탄소 함유 물질의 주입 공정을 진행한 저유전층을 포함할 수 있다. 예를 들어, 제2절연층(15)은 탄소가 15%∼40%로 함유된 TEOS를 포함할 수 있으나, 탄소의 함유량이 이에 한정되지는 않는다.
TEOS에 탄소 함유 물질의 주입 공정을 진행하는 공정은 이하 도 2a 및 도 2b에서 자세히 설명하기로 한다.
이어서, 제2절연층(15) 상에 제1 및 제2하드마스크(16, 17)를 형성할 수 있다. 제1 및 제2하드마스크(16, 17)는 제2절연층(15)과 식각선택비를 갖는 물질을 포함할 수 있다. 제1 및 제2하드마스크(16, 17)는 쉽게 제거 가능한 물질을 포함할 수 있다. 제1 및 제2하드마스크(16, 17)는 서로 다른 식각선택비를 갖는 물질로 형성할 수 있다. 예를 들어, 제1하드마스크(16)는 TEOS(Tetra Ethyl Ortho Silicate)를 포함할 수 있고, 제2하드마스크(16)는 SOC(Spin On Carbon)를 포함할 수 있다.
제1 및 제2하드마스크(16, 17)에 의해 트렌치 영역이 오픈될 수 있다. 제1 및 제2하드마스크(16, 17)에 의해 정의된 트렌치 영역은 제1금속배선(13)과 오버랩될 수 있다.
도 1b에 도시된 바와 같이, 제1 및 제2하드마스크(16, 17)에 의해 노출된 제2절연층(15)을 식각하여 트렌치(18)를 형성할 수 있다. 트렌치(18)는 제2금속배선이 형성되는 영역으로, 제2절연층(15)을 일정 깊이 식각하여 형성할 수 있다. 트렌치(18)를 형성하기 위한 식각 공정에서 제2절연층(15)의 식각면이 손상되며, 이로 인해 제2절연층(15) 내에 함유된 탄소가 일부 손실될 수 있다. 트렌치(18) 표면의 탄소 손실, 즉, 트렌치(18)를 이루는 제2절연층(15)의 표면의 탄소 손실에 따라, 제2절연층(15) 표면의 유전율이 증가할 수 있다. 또한, 도시되지 않았으나, 식각에 의해 트렌치(18)의 표면에 대미지층(Damage layer)이 형성될 수 있다.
도 1c에 도시된 바와 같이, 제2하드마스크(17, 도 1b 참조)를 제거할 수 있다. 식각선택비에 의해 제1하드마스크(16)는 제거되지 않고, 제2절연층(15) 상에 그대로 잔류할 수 있다.
이어서, 제2절연층(15)에 탄소 함유 물질의 주입 공정(100)을 진행할 수 있다. 탄소 함유 물질의 주입 공정(100)은 도 1b의 트렌치(18) 형성 공정에 의한 제2절연층(15) 표면의 유전율 증가를 억제하는 역할을 할 수 있다. 상술한 식각 공정에서 트렌치(18) 표면에 대미지층(미도시)이 형성되는 경우, 대미지층이 탄소 함유 물질의 주입 공정(100)시의 희생층 역할을 할 수 있다. 도시되지 않았으나, 대미지층은 탄소 함유 물질의 주입 공정(100)이 완료된 후에 세정 공정 등을 통해 제거될 수 있다.
탄소 함유 물질의 주입 공정(100)에서, 탄소 함유 물질은 탄소를 포함할 수 있다. 탄소 함유 물질의 주입 공정(100)은 이온주입 공정을 포함할 수 있다. 탄소 함유 물질의 주입 공정(100)은 탄소 틸트 이온주입으로 진행할 수 있다. 제1하드마스크(16)는 탄소 함유 물질의 주입 공정(100)시 제2절연층(15)의 상부면을 보호하는 희생층 역할을 할 수 있다. 또한, 제1하드마스크(16)를 실리콘산화물(예컨대, TEOS)로 형성하는 경우, 탄소 함유 물질의 주입 공정(100)에 의해 제1하드마스크(16)의 유전율이 낮아져 저유전층을 형성할 수 있으므로, 제1하드마스크(16)의 제거 공정을 생략할 수 있다.
탄소 함유 물질의 주입 공정(100)에 따라, 트렌치(18) 표면 즉, 트렌치(18)를 이루는 제2절연층(15)의 표면에 탄소 주입 영역(15D)이 형성될 수 있다. 탄소 주입 영역(15D)의 탄소 함유량은 제2절연층(15) 내의 탄소 함유량과 동일하거나 많을 수 있다. 따라서, 제2절연층(15) 표면의 유전율 증가를 억제할 수 있다.
도 1d에 도시된 바와 같이, 트렌치(18)를 포함하는 제2절연층(15) 상에 제3하드마스크(19)를 형성할 수 있다. 제3하드마스크(19)는 제1하드마스크(16) 및 제2절연층(15)과 식각선택비를 갖는 물질을 포함할 수 있다. 제3하드마스크(19)는 쉽게 제거 가능한 물질을 포함할 수 있다. 제3하드마스크(19)는 예를 들어, SOC(Spin On Carbon)를 포함할 수 있다.
이어서, 제3하드마스크(19)에 의해 노출된 트렌치(18) 저면의 제2절연층(15) 및 식각정지층(14)을 식각하여 제1금속배선(13)을 노출시키는 비아(20)를 형성할 수 있다. 비아(20)는 제1금속배선(13)과 제2금속배선(미도시)을 연결하기 위한 콘택 역할을 할 수 있다. 비아(20)의 폭은 트렌치(18)의 폭보다 좁게 형성될 수 있다. 제3하드마스크(19)에 의해 트렌치(18) 측벽 및 저면 일부의 탄소 주입 영역(15D)은 노출되지 않고, 보호될 수 있다.
도 1e에 도시된 바와 같이, 제3하드마스크(19, 도 1d 참조)를 제거할 수 있다. 따라서, 제2절연층(15)에 서로 폭이 다르게 형성된 비아(20) 및 트렌치(18)로 구성된 듀얼 다마신 구조가 형성될 수 있다.
이어서, 제2절연층(15)에 열처리(101)를 진행할 수 있다. 열처리(101)는 제2절연층(15)의 식각 표면을 큐어링(curing)하기 위한 것으로, 수소 또는 질소 분위기에서 진행할 수 있다. 열처리(101)에 의해 트렌치(18) 및 비아(20) 표면 즉, 트렌치(18) 및 비아(20)를 이루는 제2절연층(15)의 표면이 큐어링될 수 있다. 이때, 탄소 주입 영역(15D, 도 1c 참조)은 탄소 리커버리 영역(15R)이 될 수 있다.
도 1f에 도시된 바와 같이, 비아(20) 및 트렌치(18)를 매립하는 제2금속배선(22)을 형성할 수 있다. 제2금속배선(22)과 제2절연층(15) 사이에는 배리어층(21)이 형성될 수 있다.
제2금속배선(22)은 비아(20) 및 트렌치(18)를 포함하는 제2절연층(15)의 전면에 배리어층(21)을 형성하고, 배리어층(21) 상에 비아(20) 및 트렌치(18)를 매립하는 도전물질을 형성한 후, 제2절연층(15)의 상부면이 노출되도록, 도전물질 및 배리어층(21)을 식각하는 일련의 공정을 통해 형성될 수 있다. 이때, 도전물질 및 배리어층(21)을 식각하는 공정은 CMP(Chemical Mechanical Polishing) 또는 에치백(Etch back) 등으로 진행할 수 있다.
배리어층(21)은 제2금속배선(22)의 확산방지 역할을 할 수 있다. 배리어층(21)은 Ta, TaN, TiN, WN 및 W-Si-N으로 구성되는 그룹 중에서 선택된 적어도 어느 하나의 물질로 형성될 수 있다. 제2금속배선(22)은 텅스텐, 구리 또는 알루미늄을 포함할 수 있다.
본 실시예에서는, 제2금속배선(22) 형성시 제1하드마스크(16, 도 1e 참조)를 함께 제거하였으나, 제1하드마스크(16, 도 1e 참조)를 제거하지 않고 후속 공정을 그대로 진행할 수도 있다.
도 2a 내지 도 2g는 본 실시예에 따른 반도체 장치 제조 방법의 다른 실시예를 나타내는 공정 단면도이다.
도 2a에 도시된 바와 같이, 반도체 기판(31) 상부에 제1금속배선(33)이 매립된 제1절연층(32)이 형성될 수 있다.
반도체 기판(31)은 게이트, 비트라인 및 캐패시터 등의 하부 구조물(미도시)이 형성된 반도체 기판일 수 있다. 반도체 기판(31)은 실리콘을 함유하는 물질로 이루어질 수 있다. 반도체 기판(31)은 실리콘, 단결정 실리콘, 폴리실리콘, 비정질 실리콘, 실리콘저마늄, 단결정 실리콘저마늄, 다결정 실리콘저마늄, 탄소 도핑된 실리콘, 그들의 조합 또는 그들의 다층을 포함할 수 있다. 반도체 기판(31)은 Ⅲ/Ⅴ족 반도체 기판, 예컨대, GaAs와 같은 화합물 반도체 기판을 포함할 수도 있다. 반도체 기판(31)은 SOI(Silicon On Insulator) 기판을 포함할 수도 있다.
제1절연층(32)은 실리콘산화물, 실리콘질화물 또는 실리콘 카본 및 보론을 포함하는 저유전 물질 중 하나로 형성될 수 있다.
제1금속배선(33)은 도전물질을 포함할 수 있다. 제1금속배선(33)은 금속물질을 포함할 수 있다. 제1금속배선(33)은 텅스텐, 구리 또는 알루미늄을 포함할 수 있다.
이어서, 제1금속배선(33)을 포함하는 제1절연층(32) 상에 식각정지층(34)이 형성될 수 있다. 식각정지층(34)은 제1금속배선(33)의 확산을 방지하기 위한 배리어 역할도 할 수 있다. 식각정지층(34)은 실리콘질화물 또는 실리콘카본을 포함할 수 있다.
이어서, 식각정지층(34) 상에 제2절연층(35)을 형성할 수 있다. 제2절연층(35)은 저유전 상수를 갖는 절연층(low-k dielectric)일 수 있다. 제2절연층(35)은 실리콘 산화막(SiO2)에 비해 더 낮은 유전상수를 갖는 유전물질, 바람직하게는 유전상수가 3.5 이하의 값을 가진 물질일 수 있다. 제2절연층(35)은 탄소를 함유하는 저유전층일 수 있다. 제2절연층(35)은 탄소가 1%∼30%로 함유된 유기실리케이트(OSG: organosilicate glass)일 수 있다. 제2절연층(35)은 예를 들어, SiCOH일 수 있다. SiCOH는 Si-C-O-H의 혼합물로서, 막 내에 전기적 분극성이 작은 원자인 수소(H)나 탄소(C)를 많이 함유할수록 유전상수가 낮아지는 특징을 갖는 물질이다.
다른 실시예로, 제2절연층(35)은 탄소 이온주입에 의해 유전 상수를 낮출 수 있는 실리콘 산화물을 포함할 수 있다. 예를 들어, 제2절연층(35)은 TEOS(Tetra Ethyl Ortho Silicate)를 포함할 수 있다.
도 2b에 도시된 바와 같이, 제2절연층(35)에 탄소 함유 물질의 주입 공정(300)을 진행할 수 있다.
탄소 함유 물질의 주입 공정(300)을 진행하기 전에, 제2절연층(35) 상에 희생층(미도시)을 형성할 수 있다. 희생층(미도시)은 탄소 함유 물질의 주입 공정(300)시에 제2절연층(35)의 상부면을 보호하기 위한 역할을 할 수 있다. 희생층(미도시)은 제2절연층(35)의 막 성질 변화를 방지하기 위하여 저온에서 형성할 수 있다. 희생층(미도시)은 저온 산화물을 포함할 수 있다. 예를 들어, 희생층(미도시)은 ULTO(Ultra Low Temperature Oxide)를 포함할 수 있다. 다른 실시예로, 희생층(미도시)은 낮은 두께로 형성이 가능한 저온 산화물을 포함할 수 있다. 또 다른 실시예로 희생층(미도시)은 질화물을 포함할 수 있다.
탄소 함유 물질의 주입 공정(300)은 이온주입 공정을 포함할 수 있다. 탄소 함유 물질의 주입 공정(300)에서 탄소 함유 물질은 탄소를 포함할 수 있다. 탄소 함유 물질의 주입 공정(300)은 후속 열처리시 주입된 탄소가 막 내에 고르게 분포 할 수 있는 타겟으로 진행할 수 있다. 예를 들어, 탄소 함유 물질의 주입 공정(300)은 1500Å∼2000Å의 Rp로 진행할 수 있으나, 이에 한정되지 않으며, 제2절연층(35)의 두께에 따라 조절될 수 있다.
탄소 함유 물질의 주입 공정(300)은 제2절연층(35)의 종류에 따라 탄소 주입 농도를 다르게 진행할 수 있다. 탄소 함유 물질의 주입 공정(300)은 제2절연층(35)이 탄소를 포함하는 저유전층인 경우, 후속 식각 공정시 손실되는 탄소의 양을 보상할 수 있도록, 탄소 주입 농도를 조절할 수 있다. 다른 실시예로, 탄소 함유 물질의 주입 공정(300)은 제2절연층(35)이 TEOS로 형성된 경우, 제2절연층(35)이 탄소를 포함하는 저유전층인 경우보다 탄소 주입 농도를 더 크게 조절할 수 있다. 즉, 제2절연층(35)이 TEOS로 형성된 경우, 탄소 주입 농도를 크게 하여 제2절연층(35) 막 자체의 유전율을 감소시킬 수 있다.
이어서, 희생층(미도시)를 제거할 수 있다. 따라서, 주입 공정(300)에 의해 희생층의 표면 또는 모폴로지(morphology)의 손상으로, 후속 패터닝시 광원의 산란이 유발되는 문제를 방지할 수 있다. 다른 실시예로, 희생층(미도시)을 제거하지 않고, 후속 금속배선 형성을 위한 CMP(Chemical Mechanical Polishing) 공정에서 함께 제거할 수도 있다.
도 2c에 도시된 바와 같이, 제2절연층(35) 상에 제1하드마스크(36)을 형성할 수 있다. 제1하드마스크(36)는 제2절연층(35)과 식각선택비를 갖는 물질을 포함할 수 있다. 제1하드마스크(36)는 쉽게 제거 가능한 물질을 포함할 수 있다. 예를 들어, 제1하드마스크(36)는 SOC(Spin On Carbon)를 포함할 수 있다. 다른 실시예로, 제1하드마스크(36)는 식각선택비가 서로 다른 하드마스크의 적층구조를 포함할 수 있다. 예를 들어, 제1하드마스크(36)는 TEOS(Tetra Ethyl Ortho Silicate) 및 SOC(Spin On Carbon)의 적층구조를 포함할 수 있다.
제1하드마스크(36)에 의해 트렌치 영역이 오픈될 수 있다. 제1하드마스크(36)에 의해 정의된 트렌치 영역은 제1금속배선(33)과 오버랩될 수 있다.
이어서, 제1하드마스크(36)에 의해 노출된 제2절연층(35)을 식각하여 트렌치(37)를 형성할 수 있다. 트렌치(37)는 제2금속배선이 형성되는 영역으로, 제2절연층(35)을 일정 깊이 식각하여 형성할 수 있다. 트렌치(37)를 형성하기 위한 식각 공정에서 제2절연층(35)의 식각면이 손상되며, 이로 인해 제2절연층(35) 내에 함유된 탄소가 일부 손실될 수 있다. 트렌치(37) 표면의 탄소 손실, 즉, 트렌치(37)를 이루는 제2절연층(35)의 표면의 탄소 손실에 따라, 제2절연층(35)의 유전율이 증가할 수 있으나, 도 2b의 탄소 함유 물질의 주입 공정에 의해 제2절연층(35) 내에 주입된 탄소 함유 물질이 후속 열처리 공정을 통해 제2절연층(35) 내에 균일한 탄소 농도를 이루도록 함으로써 제2절연층(35)의 유전율 증가를 방지할 수 있다. 이에 대하여는, 이하 열처리 공정 단계에서 자세히 설명하기로 한다.
다른 실시예로, 트렌치(37) 형성 후, 도 1c와 같이 트렌치(37) 표면에 탄소 함유 물질의 주입 공정을 추가로 진행할 수도 있다.
도 2d에 도시된 바와 같이, 제1하드마스크(36, 도 2c 참조)를 제거할 수 있다.
이어서, 트렌치(37)를 포함하는 제2절연층(35) 상에 제2하드마스크(38)를 형성할 수 있다. 제2하드마스크(38)는 제2절연층(35)과 식각선택비를 갖는 물질을 포함할 수 있다. 제2하드마스크(38)는 쉽게 제거 가능한 물질을 포함할 수 있다. 제2하드마스크(38)는 예를 들어, SOC(Spin On Carbon)를 포함할 수 있다.
이어서, 제2하드마스크(38)에 의해 노출된 트렌치(37) 저면의 제2절연층(35) 및 식각정지층(34)을 식각하여 제1금속배선(33)을 노출시키는 비아(39)를 형성할 수 있다. 비아(39)는 제1금속배선(33)과 제2금속배선(미도시)을 연결하기 위한 콘택 역할을 할 수 있다. 비아(39)의 폭은 트렌치(37)의 폭보다 좁게 형성될 수 있다. 제2하드마스크(38)에 의해 트렌치(37) 측벽 및 저면이 보호되어 제2절연층(35)의 추가 손상 및 그에 따른 탄소 손실을 방지할 수 있다.
도 2e에 도시된 바와 같이, 제2하드마스크(38, 도 2d 참조)를 제거할 수 있다. 따라서, 제2절연층(35)에 서로 폭이 다르게 형성된 비아(39) 및 트렌치(37)로 구성된 듀얼 다마신 구조가 형성될 수 있다.
도 2f에 도시된 바와 같이, 제2절연층(35)에 열처리(301)를 진행할 수 있다. 열처리(301)는 제2절연층(35)의 식각 표면을 큐어링(curing)하기 위한 것으로, 수소 또는 질소 분위기에서 진행할 수 있다. 열처리(301)에 의해 트렌치(37) 및 비아(39) 표면 즉, 트렌치(37) 및 비아(39)를 이루는 제2절연층(35)의 표면이 큐어링될 수 있다. 또한, 동시에 도 2b에서 제2절연층(35) 내에 주입된 탄소가 제2절연층(35) 내에 균일하게 분포함으로써, 트렌치(37) 및 비아(39) 식각시 제2절연층(35)의 손상에 따른 탄소 손실에 의한 유전율 증가를 억제할 수 있다.
도 2g에 도시된 바와 같이, 비아(39) 및 트렌치(37)를 매립하는 제2금속배선(41)을 형성할 수 있다. 제2금속배선(41)과 제2절연층(35) 사이에는 배리어층(40)이 형성될 수 있다.
제2금속배선(41)은 비아(39) 및 트렌치(37)를 포함하는 제2절연층(35)의 전면에 배리어층(40)을 형성하고, 배리어층(40) 상에 비아(39) 및 트렌치(37)를 매립하는 도전물질을 형성한 후, 제2절연층(35)의 상부면이 노출되도록, 도전물질 및 배리어층(40)을 식각하는 일련의 공정을 통해 형성될 수 있다. 이때, 도전물질 및 배리어층(40)을 식각하는 공정은 CMP(Chemical Mechanical Polishing) 또는 에치백(Etch back) 등으로 진행할 수 있다. 도 2b에서 탄소 함유 물질의 주입 공정 이후에 희생층(미도시) 제거 공정을 진행하지 않은 경우, 희생층은 도전물질 및 배리어층(40)을 식각하는 공정에서 함께 제거될 수 있다.
배리어층(40)은 제2금속배선(41)의 확산방지 역할을 할 수 있다. 배리어층(40)은 Ta, TaN, TiN, WN 및 W-Si-N으로 구성되는 그룹 중에서 선택된 적어도 어느 하나의 물질로 형성될 수 있다. 제2금속배선(41)은 텅스텐, 구리 또는 알루미늄을 포함할 수 있다.
이상으로 해결하고자 하는 과제를 위한 다양한 실시예들이 기재되었으나, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자진 자라면 본 발명의 기술사상의 범위 내에서 다양한 변경 및 수정이 이루어질 수 있음은 명백하다.
11 : 반도체 기판 12 : 제1절연층
13 : 제1금속배선 14 : 식각정지층
15 : 제2절연층 15R : 탄소 리커버리 영역
18 : 트렌치 20 : 비아
21 : 배리어층 22 : 제2금속배선

Claims (16)

  1. 저유전층을 형성하는 단계;
    상기 저유전층을 식각하여 패턴을 형성하는 단계; 및
    상기 패턴 표면에 탄소 함유 물질을 주입하는 단계
    를 포함하는 반도체 장치 제조 방법.
  2. 제1항에 있어서,
    상기 탄소 함유 물질을 주입하는 단계는,
    탄소 틸트 이온주입을 포함하는 반도체 장치 제조 방법.
  3. 제1항에 있어서,
    상기 탄소 함유 물질을 주입하는 단계 이후에,
    상기 저유전층에 열처리 진행하는 단계를 더 포함하는 반도체 장치 제조 방법.
  4. 제3항에 있어서,
    상기 열처리는 수소 또는 질소 분위기에서 진행하는 반도체 장치 제조 방법.
  5. 제1항에 있어서,
    상기 저유전층을 형성하는 단계는,
    절연층을 형성하는 단계; 및
    상기 절연층의 유전율 감소를 위해 상기 절연층에 탄소 함유 물질을 주입하여 저유전층을 형성하는 단계를 포함하는 반도체 장치 제조 방법.
  6. 제1항에 있어서,
    상기 절연층은 실리콘산화물 또는 탄소 함유 실리콘산화물을 포함하는 반도체 장치 제조 방법.
  7. 탄소 함유 저유전층을 형성하는 단계;
    상기 저유전층을 1차 식각하여 트렌치를 형성하는 단계;
    상기 트렌치의 표면에 탄소 함유 물질을 주입하는 단계; 및
    상기 트렌치 저면의 저유전층을 2차 식각하여 비아를 형성하는 단계
    를 포함하는 반도체 장치 제조 방법.
  8. 제7항에 있어서,
    상기 탄소 함유 물질을 주입하는 단계는,
    틸트 이온주입을 포함하는 반도체 장치 제조 방법.
  9. 제7항에 있어서,
    상기 저유전층은 탄소 함유 실리콘산화물을 포함하는 반도체 장치 제조 방법.
  10. 절연층을 형성하는 단계;
    상기 절연층에 탄소 함유 물질을 주입하는 단계;
    상기 탄소 함유 절연층을 1차 식각하여 트렌치를 형성하는 단계; 및
    상기 트렌치 저면의 탄소 함유 절연층을 2차 식각하여 비아를 형성하는 단계
    를 포함하는 반도체 장치 제조 방법.
  11. 제10항에 있어서,
    상기 비아를 형성하는 단계 이후에,
    상기 열처리를 진행하는 단계를 더 포함하는 반도체 장치 제조 방법.
  12. 제10항에 있어서,
    상기 절연층을 형성하는 단계 이후에,
    상기 절연층 상에 희생층을 형성하는 단계를 더 포함하는 반도체 장치 제조 방법.
  13. 제10항에 있어서,
    상기 절연층은 실리콘산화물 또는 탄소 함유 실리콘산화물을 포함하는 반도체 장치 제조 방법.
  14. 기판 상부에 형성된 제1도전층;
    상기 제1도전층 상에 형성된 트렌치 및 비아를 포함하는 저유전층;
    상기 트렌치 및 비아에 매립된 제2도전층; 및
    상기 제2도전층과 접하는 상기 제2도전층의 트렌치 표면에 형성된 탄소 주입 영역
    을 포함하는 반도체 장치.
  15. 제14항에 있어서,
    상기 저유전층은 탄소 함유 실리콘산화물을 포함하는 반도체 장치.
  16. 제14항에 있어서,
    상기 탄소 주입 영역의 탄소 함유량은 상기 저유전층의 탄소 함유량보다
    같거나 많은 반도체 장치.
KR1020200056991A 2020-05-13 2020-05-13 반도체 장치 제조방법 KR20210138927A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020200056991A KR20210138927A (ko) 2020-05-13 2020-05-13 반도체 장치 제조방법
US16/998,403 US20210358856A1 (en) 2020-05-13 2020-08-20 Method for fabricating semiconductor device
CN202010966003.6A CN113675138A (zh) 2020-05-13 2020-09-15 制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200056991A KR20210138927A (ko) 2020-05-13 2020-05-13 반도체 장치 제조방법

Publications (1)

Publication Number Publication Date
KR20210138927A true KR20210138927A (ko) 2021-11-22

Family

ID=78512912

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200056991A KR20210138927A (ko) 2020-05-13 2020-05-13 반도체 장치 제조방법

Country Status (3)

Country Link
US (1) US20210358856A1 (ko)
KR (1) KR20210138927A (ko)
CN (1) CN113675138A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220102279A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Dielectric capacitance recovery of inter-layer dielectric layers for advanced integrated circuit structure fabrication

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368979B1 (en) * 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
DE102004036753B4 (de) * 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
US7223691B2 (en) * 2004-10-14 2007-05-29 International Business Machines Corporation Method of forming low resistance and reliable via in inter-level dielectric interconnect
US7268071B2 (en) * 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7365026B2 (en) * 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US20070232047A1 (en) * 2006-03-31 2007-10-04 Masanaga Fukasawa Damage recovery method for low K layer in a damascene interconnection
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20120122320A1 (en) * 2010-11-17 2012-05-17 Applied Materials, Inc. Method Of Processing Low K Dielectric Films
KR101877878B1 (ko) * 2012-06-11 2018-07-13 에스케이하이닉스 주식회사 복층의 스토리지노드를 구비한 반도체장치 및 그 제조 방법
KR20140018546A (ko) * 2012-08-02 2014-02-13 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US10573627B2 (en) * 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit

Also Published As

Publication number Publication date
CN113675138A (zh) 2021-11-19
US20210358856A1 (en) 2021-11-18

Similar Documents

Publication Publication Date Title
US6297126B1 (en) Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
US6274419B1 (en) Trench isolation of field effect transistors
US6369430B1 (en) Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20220328690A1 (en) Multi-Layer Film Device and Method
KR20030034501A (ko) 반도체소자의 도전배선 형성방법
KR100800680B1 (ko) 반도체 소자의 층간 절연막 형성 방법
US5849625A (en) Planar field oxide isolation process for semiconductor integrated circuit devices using liquid phase deposition
KR19990075821A (ko) 샐로우트랜치아이솔레이션 방법
US7323377B1 (en) Increasing self-aligned contact areas in integrated circuits using a disposable spacer
KR20000013397A (ko) 트렌치 격리 형성 방법
KR20210138927A (ko) 반도체 장치 제조방법
US6930040B2 (en) Method of forming a contact on a silicon-on-insulator wafer
KR100927777B1 (ko) 메모리 소자의 제조방법
TWI240375B (en) Integrated circuit structure and method of fabrication
KR100307968B1 (ko) 플러그폴리를 갖는 반도체장치의 층간절연막 형성방법
KR100600288B1 (ko) 반도체 소자의 제조 방법
KR100532770B1 (ko) 반도체 소자의 제조 방법
KR100855285B1 (ko) 반도체 소자의 제조방법
KR20010011640A (ko) 반도체 장치의 플러그폴리 형성방법
KR100505418B1 (ko) 반도체소자의 소자분리막 형성방법
KR100313785B1 (ko) 반도체소자의 층간절연막 형성방법
KR100589498B1 (ko) 반도체 소자 및 그의 제조방법
KR20000018489A (ko) 반도체장치의 배선 형성방법
KR100745058B1 (ko) 반도체 소자의 셀프 얼라인 콘택홀 형성방법
KR100562744B1 (ko) 반도체 소자의 층간 절연막 제조방법