KR20220076976A - 웨이퍼 처리 장치 - Google Patents

웨이퍼 처리 장치 Download PDF

Info

Publication number
KR20220076976A
KR20220076976A KR1020200166005A KR20200166005A KR20220076976A KR 20220076976 A KR20220076976 A KR 20220076976A KR 1020200166005 A KR1020200166005 A KR 1020200166005A KR 20200166005 A KR20200166005 A KR 20200166005A KR 20220076976 A KR20220076976 A KR 20220076976A
Authority
KR
South Korea
Prior art keywords
wafer
plasma
voltage
power source
reactive ion
Prior art date
Application number
KR1020200166005A
Other languages
English (en)
Inventor
박종철
라현욱
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200166005A priority Critical patent/KR20220076976A/ko
Priority to US17/370,766 priority patent/US12014904B2/en
Publication of KR20220076976A publication Critical patent/KR20220076976A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2007Holding mechanisms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

예시적인 실시예들에 따르면, 웨이퍼 처리 장치가 제공된다. 상기 장치는, 플라즈마가 생성되는 플라즈마 영역을 정의하는 챔버 바디; 상기 챔버 바디 내에 배치되고, 웨이퍼를 지지하는 웨이퍼 지지대; 상기 웨이퍼 지지대와 상기 플라즈마 영역 사이에 개재되고, 상기 플라즈마에 포함된 이온들의 경로를 제한하는 어퍼쳐들이 형성된 제1 및 제2 전극들; 상기 제2 전극에 인가된 전압 보다 높은 전압을 상기 제1 전극에 인가하도록 구성된 제1 전원; 및 상기 제2 전극에 인가된 전압 보다 높은 전압을 상기 웨이퍼 지지대에 인가하도록 구성된 제2 전원을 포함한다.

Description

웨이퍼 처리 장치{Wafer processing apparatus}
본 발명은 웨이퍼 처리 장치에 관한 것이다.
반도체 소자들은 증착 공정, 이온 주입 공정, 포토 리소그래피 공정, 및 식각 공정 등 다양한 반도체 제조 공정들을 이용하여 형성된다. 반도체 소자들이 고 집적화 됨에 따라, 반도체 소자들에 포함된 패턴들의 선 폭이 감소하고 있으며, 종래에는 소자 불량을 유발하지 않았던 초 미세 브리지 결함들이 단락 및 개방 등을 통한 소자 불량의 원인이 되고 있다. 이에 따라, 패턴 형성을 위한 식각 공정에서 브리지 결함을 억제하고, 반도체 소자의 신뢰성을 제고하기 위한 다양한 방법들이 연구되고 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는, 신뢰성이 제고된 웨이퍼 처리 장치를 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따르면, 웨이퍼 처리 장치가 제공된다. 상기 장치는, 플라즈마가 생성되는 플라즈마 영역을 정의하는 챔버 바디; 상기 챔버 바디 내에 배치되고, 웨이퍼를 지지하는 웨이퍼 지지대; 상기 웨이퍼 지지대와 상기 플라즈마 영역 사이에 개재되고, 상기 플라즈마에 포함된 이온들의 경로를 제한하는 어퍼쳐들이 형성된 제1 및 제2 전극들; 상기 제2 전극에 인가된 전압 보다 높은 전압을 상기 제1 전극에 인가하도록 구성된 제1 전원; 및 상기 제2 전극에 인가된 전압 보다 높은 전압을 상기 웨이퍼 지지대에 인가하도록 구성된 제2 전원을 포함한다.
예시적인 실시예들에 따르면, 반응성 이온 빔을 이용한 웨이퍼 식각 공정을 수행하도록 구성된 웨이퍼 처리 장치가 제공된다. 상기 웨이퍼 처리 장치는, 플라즈마가 생성되는 플라즈마 영역을 정의하고 상기 웨이퍼가 배치되는 챔버 바디; 상기 플라즈마 영역과 상기 웨이퍼 사이에 개재되고, 상기 플라즈마에 포함된 이온들의 경로를 제한하는 어퍼쳐들이 형성된 제1 및 제2 전극들; 상기 플라즈마를 생성하기 위한 RF 전력을 제공하도록 구성된 RF 전원; 상기 플라즈마에 포함된 양이온들을 가속하여 상기 반응성 이온 빔을 생성하기 위한 제1 전압을 상기 제1 전극에 인가하도록 구성된 제1 전원; 및 상기 반응성 이온 빔의 경로를 제어하기 위한 제2 전압을 상기 웨이퍼에 인가하도록 구성된 제2 전원을 포함한다.
예시적인 실시예들에 따르면, 웨이퍼의 상면에 수직한 제1 방향에 대해, 서로 다른 제1 각도 내지 제3 각도로 반응성 이온 빔을 입사시키도록 구성된 웨이퍼 처리 장치가 제공된다. 상기 웨이퍼 처리 장치는, 플라즈마가 형성되는 플라즈마 영역을 정의하는 챔버 바디; 상기 챔버 바디 내에 배치되고, 웨이퍼를 지지하고 고정하는 웨이퍼 지지대; 상기 웨이퍼 지지대와 상기 플라즈마 영역 사이에 개재되고, 상기 플라즈마에 포함된 이온들의 경로를 제한하는 어퍼쳐들이 형성된 제1 및 제2 전극들; 상기 제1 전극에 전기적으로 연결되도록 구성된 제1 전원; 및 상기 웨이퍼 지지대의 전기적으로 연결되도록 구성된 제2 전원을 포함하되, 상기 제2 전원은 상기 웨이퍼 지지대를 통해 상기 웨이퍼에 서로 다른 적어도 3개 레벨의 전압을 인가하도록 구성된다.
본 발명의 기술적 사상에 따르면, 웨이퍼에 전압을 인가함으로써 반응성 이온 빔의 지향각을 조절할 수 있는 웨이퍼 처리 장치가 제공된다. 이에 따라, 웨이퍼에 대해 저각으로 입사하는 반응성 이온 빔을 이용해 마스크 패턴에 형성된 패턴을 웨이퍼 상의 물질층에 전사할 수 있고, 고각으로 입사하는 반응성 이온 빔을 이용해 패턴 사이에 발생하는 브리지 결함을 제거할 수 있다.
본 발명을 통해 이뤄지는 기술적 효과들은 이상에서 언급한 기술적 효과들로 제한되지 않으며, 언급하지 않은 또 다른 기술적 효과들은 아래의 기재로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 2a 내지 도 2c는 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면들이다.
도 3은 예시적인 실시예들에 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 4a 내지 도 4c는 예시적인 실시예들에 반도체 소자 제조 방법을 설명하기 위한 사시도들이다.
도 5a 내지 도 5c는 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 단면도들이다.
도 6 내지 도 7c는 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면들이다.
도 8은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 9는 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치(100)를 설명하기 위한 도면이다.
도 1을 참조하면, 웨이퍼 처리 장치(100)는, 챔버 바디(110), 웨이퍼 지지대(120), 가스 주입구(Gas Inlet)(131), 공정 가스 배출구(Gas Exhaust)(135), 플라즈마 발생 장치(140), RF(Radio Frequency) 전원(145), 제1 및 제2 전극들(151, 155) 및 제1 내지 제3 전원들(161, 163, 165)을 포함할 수 있다.
웨이퍼 처리 장치(100)는 플라즈마를 생성하고, 이를 이용하여 웨이퍼(W)를 처리하기 위한 장치일 수 있다. 웨이퍼 처리 장치(100)는 웨이퍼(W)에 식각 공정을 수행할 수 있다. 웨이퍼 처리 장치(100)는 예컨대, 반응성 이온 식각(Reactive ion etching) 공정을 수행할 수 있다. 반응성 이온 식각은 고주파 RF 전원에 의해 여기 된 종(라디칼, 이온)이 저압 챔버에서 기판 또는 박막을 식각하는 건식 식각 공정이다. 반응성 이온 식각은, 고에너지 이온(Energetic Ion)의 폭격(Bombardment) 및 화학적 활성 종들(chemically active species)의 복합적 작용에 의해 수행될 수 있다.
웨이퍼(W)는 예를 들면, 실리콘(Si, silicon)을 포함할 수 있다. 웨이퍼(W)는 게르마늄(Ge, germanium)과 같은 반도체 원소, 또는 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 SOI (silicon on insulator) 구조를 가질 수 있다. 웨이퍼(W)는 매립 산화물 층(buried oxide layer)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 도전 영역, 예컨대, 불순물이 도핑된 웰(well)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 상기 도핑된 웰을 서로 분리하는 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다. 웨이퍼(W)는 활성면인 제1 면 및 제1 면에 반대되는 비활성면인 제2 면을 가질 수 있다. 웨이퍼(W)는 제2 면이 웨이퍼 지지대(120)와 대향하도록 웨이퍼 지지대(120) 상에 배치될 수 있다.
여기서, 웨이퍼(W)의 제1 면에 평행하고 서로 수직한 두 방향들을 각각 X 방향 및 Y 방향으로 정의하고, 웨이퍼(W)의 제1 면에 수직한 방향을 Z 방향으로 정의한다. 따로 언급되지 않는 한, 방향에 대한 정의는 이하 도면들에서 동일하다.
챔버 바디(110)는 웨이퍼(W) 처리를 위한 내부 공간을 제공할 수 있다. 챔버 바디(110)는 상기 처리를 위한 내부 공간을 외부로부터 분리할 수 있다. 챔버 바디(110)는 높은 정밀도로 압력 및 온도 조절이 가능한 클린 룸 설비일 수 있다. 챔버 바디(110)는 공정 가스에 기초하여 플라즈마가 생성되는 플라즈마 영역(PLR)을 포함할 수 있다. 챔버 바디(110)에 의해 정의되는 내부 공간은 Z 방향에 대해 회전 대칭일 수 있으나 이에 제한되는 것은 아니다. 예시적인 실시예들에 따르면, 외부로부터 챔버 내의 공정 공간을 전기적으로 분리하기 위해, 챔버 바디(110)에 기준 전위가 인가될 수 있다.
웨이퍼 처리 장치(100)는 웨이퍼(W)에 서로 다른 제1 및 제2 식각 공정을 수행할 수 있다. 상기 제1 및 제2 식각 공정은 각각 방향성 식각 공정일 수 있다. 제1 식각 공정은, 예컨대, Z 방향에 대해 낮은 각도로 웨이퍼(W)에 입사하는 반응성 이온 빔들(RIB)에 의한 식각 공정이다. 제2 식각 공정은, 예컨대, Z 방향에 대해 높은 각도로 웨이퍼(W)에 입사하는 반응성 이온 빔들(RIB)에 의한 식각 공정이다.
웨이퍼 지지대(120)는 웨이퍼(W)를 지지할 수 있다. 웨이퍼 지지대(120)는 정전력에 의해 웨이퍼(W)를 고정하는 정전척을 포함할 수 있다. 웨이퍼 지지대(120) 내부에 웨이퍼(W)의 온도를 설정하기 위한 히터가 제공될 수 있다. 웨이퍼 지지대(120)는 웨이퍼(W)의 전압을 설정함으로써, 제1 및 제2 식각 공정을 선택할 수 있다.
상기 가스 주입구(131)는 상기 챔버 바디(110) 내로 공정 가스를 공급할 수 있다. 상기 공정 가스는 반응성이온을 생성하기 위한 소스 가스일 수 있다. 공정 가스의 종류 및 압력은 식각 대상이 되는 물질 막의 조성에 따라 달라질 수 있다. 예컨대, 비정질 실리콘의 식각을 위해, CHF3 및 O2가 공정 가스로 이용될 수 있고, 실리콘 질화물의 식각을 위해 CHF3 및 O2가 공정 가스로 이용될 수 있으며, 실리콘 산화물의 식각을 위해, CF4 및 O2가 공정 가스로 이용될 수 있다.
가스 배출구(135)는 터보 분자 펌프 및 건식 펌프(Dry pump) 등의 펌프에 연결될 수 있으며, 챔버 바디(110) 내부의 압력을 조절할 수 있다. 여기서 터보 분자 펌프는 터보 펌프와 유사한 진공 펌프 유형으로, 진공을 확보하고 유지할 수 있다. 터보 분자 펌프는 예컨대, 빠르게 회전하는 팬 로터를 포함할 수 있다. 터보 분자 펌프는 팬 로터에 의해 기체 분자의 운동량의 크기 및 방향을 제어함으로써, 높은 진공압을 제공할 수 있다. 건식 펌프는 오일 확산 펌프(oil diffusion pump)와 달리 공정 챔버 내에 형성되는 진공을 유지하기 위해 밀봉과 윤활 기능을 하는 오일을 포함하지 않을 수 있다. 건식 펌프는 대략 10-2 mbar 정도의 진공을 제공할 수 있으며 진공의 청결도가 높은 장점이 있다. 건식 펌프는 예컨대, 클로 펌프(claw pump), 다단 루츠 펌프(multi stage roots pump), 루츠 클로 조합 펌프(roots와 claw combination pump), 스크롤 펌프(scroll pump), 스크류 펌프(screw pump), 막 펌프(diaphragm pump), 분자 드래그 펌프(molecular drag pump) 중 어느 하나일 수 있다.
예시적인 실시예들에 따르면, 플라즈마 발생 장치(140)는 코일을 포함할 수 있다. 플라즈마 발생 장치(140)는 RF 전원(145)으로부터 공급된 RF 전력에 기초하여 공정 가스에 자기장을 인가할 수 있다. 이에 따라, 도 1에 파선으로 표시된 것과 같이 챔버 바디(110) 내의 플라즈마 영역(PLR) 내에 플라즈마가 생성될 수 있다.
예시적인 실시예들에 따르면, 플라즈마에 포함된 이온들은 제1 및 제2 전극들(151, 155) 사이의 전위차에 의해 가속되어 반응성 이온 빔들(RIB)의 형태로 웨이퍼(W)에 입사할 수 있다. 예시적인 실시예들에 따르면, 제1 및 제2 전극들(151, 155)에 일렬로 정렬된 어퍼쳐들(151ap, 155ap)이 형성될 수 있고, 반응성 이온 빔들(RIB)의 경로는 상기 어퍼쳐들(151ap, 155ap)에 의해 한정될 수 있다.
예시적인 실시예들에 따르면, 제1 전원(161)은 제1 전극(151)에 전압을 인가할 수 있고, 제2 전원(163)은 제2 전극(155)에 전압을 인가할 수 있으며, 제3 전원(165)은 웨이퍼 지지대(120) 및 웨이퍼(W)에 전압을 인가할 수 있다. 제1 전원(161) 및 제2 전원(163)은 플라즈마에 포함된 양이온들을 가속하여 반응성 이온 빔들(RIB)을 형성할 수 있고, 제3 전원(165)은 반응성 이온 빔들(RIB)의 경로를 제어할 수 있다. 예시적인 실시예들에 따르면, 제3 전원(165)은 적어도 세 개 이상의 레벨의 전압을 출력하는 가변 전압원일 수 있다. 제1 내지 제3 전원들(161, 163, 165)에 의한 반응성 이온 빔들(RIB)의 경로의 제어는 도 2a 내지 도 2c를 참조하여 후술하도록 한다.
플라즈마 처리 장치(100)는 플라즈마 처리 장치(100)의 동작 전반을 제어하기 위한 컨트롤러를 더 포함할 수 있다. 컨트롤러는 예컨대, 공정 가스의 유입 및 유출을 제어함으로써 챔버 내의 조성 및 압력, RF 전원(145)에 의한 RF 전력의 인가, 제1 내지 제3 전원(PS1, PS2, PS3)에 의한 바이어스 전압의 인가 등을 제어할 수 있다.
상기 컨트롤러는 메모리 및 상기 메모리에 저장된 명령 또는 외부의 제어 신호를 처리하기 위한 프로세서를 포함할 수 있다. 컨트롤러는, 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 예컨대, 프로세서는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치를 포함할 수 있다. 컨트롤러는 단순 컨트롤러, 마이크로 프로세서, CPU, GPU 등과 같은 복잡한 프로세서, 소프트웨어에 의해 구성된 프로세서, 전용 하드웨어 또는 펌웨어를 포함할 수도 있다. 컨트롤러는, 예를 들어, 범용 컴퓨터 또는 DSP(Digital Signal Process), FPGA(Field Programmable Gate Array) 및 ASIC(Application Specific Integrated Circuit) 등과 같은 애플리케이션 특정 하드웨어에 의해 구현될 수 있다.
일부 실시예들에 따르면, 컨트롤러의 동작은 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독 가능 매체 상에 저장된 명령들로서 구현될 수 있다. 여기서, 기계 판독 가능 매체는 기계(예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 정보를 저장 및/또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독 가능 매체는 ROM(Read Only Memory), RAM(Random Access Memory), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 장치들, 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등) 및 기타 임의의 신호를 포함할 수 있다.
상기 컨트롤러에 대해 설명한 동작, 또는 이하에서 설명하는 임의의 공정을 수행하기 위한 또한, 펌웨어, 소프트웨어, 루틴, 명령어들이 구성될 수 있다. 예컨대, 컨트롤러는 플라즈마 처리 장치(100) 내의 압력을 제어하기 위한 신호를 생성하는 소프트웨어에 의해 구현될 수 있다. 하지만 이는 설명의 편의를 위한 것으로서, 상술된 메모리 및 프로세서의 동작은 컴퓨팅 장치, 프로세서, 컨트롤러 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 장치로부터 야기될 수도 있다.
도 2a 내지 도 2c는 예시적인 실시예들에 따른 웨이퍼 처리 장치(100)의 동작을 설명하기 위한 도면들이다.
도 1 및 도 2a를 참조하면, 제1 전원(161)에 의해 제1 전극(151)에 제1 전압(V1)이 인가될 수 있고, 제2 전원(163)에 의해 제2 전극(155)에 제2 전압(V2)이 인가될 수 있다.
예시적인 실시예들에 따르면, 제1 및 제2 전압들(V1, V2)에 의해 반응성 이온 빔들(RIB)의 평균 운동에너지가 결정될 수 있다. 보다 구체적으로, 제1 전압(V1)은 제2 전압(V2)보다 더 높을 수 있고, 플라즈마에 포함된 이온들은 상기 제1 및 제2 전압들(V1, V2)의 전압 차에 의해 생성된 전기장에 의해 가속될 수 있다.
제3 전원(165)에 의해 웨이퍼 지지대(120)를 경유해 웨이퍼(W)에 제3 전압(V3)이 인가될 수 있다. 도 2a에서는, 제3 전압(V3)이 제2 전압(V2)과 실질적으로 동일할 수 있다. 이에 따라, 제2 전극(155) 및 웨이퍼(W) 사이에 실질적으로 전기장이 형성되지 않을 수 있고, 웨이퍼(W)에 입사하는 반응성 이온 빔들(RIB)이 제2 전극(155)과 웨이퍼(W) 사이에서 실질적으로 직진할 수 있다. 이에 따라 반응성 이온 빔들(RIB)은 제1 및 제2 전극들(151, 155)에 형성된 어퍼쳐들(151ap, 155ap)의 지향각(θap)으로 웨이퍼(W)에 입사할 수 있다.
도 1 및 도 2b를 참조하면, 제1 전압(V1)은 도 2a에서와 마찬가지로 제2 전압(V2)보다 높을 수 있고, 제1 및 제2 전압들(V1, V2) 사이의 전압차에 의해 플라즈마에 포함된 양 이온들이 가속되어 반응성 이온 빔들(RIB)이 형성될 수 있다. 제3 전압(V3)은 제2 전압(V2)보다 더 낮을 수 있고, 제2 전극(155)과 웨이퍼(W) 사이에 웨이퍼(W)를 향하는 전기장이 형성될 수 있다. 이에 따라, 반응성 이온 빔들(RIB)은 웨이퍼(W)를 향하여 Z 방향으로 가속될 수 있고, Z 방향과 반응성 이온 빔들(RIB)의 입사 방향 사이의 각도인 제1 각도(θ1)는 어퍼쳐들(151ap, 155ap)의 지향각(θap, 도 2a 참조)보다 작을 수 있다.
예시적인 실시예들에 따르면, 플라즈마 처리 장치(100)는 웨이퍼(W)에 인가되는 제3 전압(V3)을 조절함으로써, 반응성 이온 빔들(RIB)이 웨이퍼(W)에 실질적으로 수직한 방향으로 입사하도록 할 수 있다.
도 1 및 도 2c를 참조하면, 제1 전압(V1)은 도 2a에서와 마찬가지로 제2 전압(V2)보다 높을 수 있고, 제1 및 제2 전압들(V1, V2) 사이의 전압차에 의해 플라즈마에 포함된 양 이온들이 가속되어 반응성 이온 빔들(RIB)이 형성될 수 있다. 제3 전압(V3)은 제2 전압(V2)보다 더 높을 수 있고, 제2 전극(155)과 웨이퍼(W) 사이에 웨이퍼(W)의 반대 방향을 향하는 전기장이 형성될 수 있다. 이에 따라, 반응성 이온 빔들(RIB)은 웨이퍼(W)를 향하여 Z 방향으로 감속될 수 있고, Z 방향과 반응성 이온 빔들(RIB)의 입사하는 방향 사이의 각도인 제2 각도(θ2)는 어퍼쳐들(151ap, 155ap)의 지향각(θap, 도 2a 참조)보다 클 수 있다.
예시적인 실시예들에 따르면, 플라즈마 처리 장치(100)는 웨이퍼(W)에 인가되는 제3 전압(V3)을 조절함으로써, 반응성 이온 빔들(RIB)이 Z 방향에 대해 높은 각도로 웨이퍼(W)에 입사하도록 할 수 있다.
도 3은 예시적인 실시예들에 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 4a 내지 도 4c는 예시적인 실시예들에 반도체 소자 제조 방법을 설명하기 위한 사시도들이다.
도 3 및 도 4a를 참조하면, P110에서 웨이퍼(W, 도 1 참조)에 식각 대상층(ETL) 및 식각 마스크(EM)를 제공할 수 있다.
상기 식각 대상층(ETL)은 도핑되거나 도핑되지 않은 비정질 실리콘, 다정질 실리콘, 결정질 실리콘 등과 같은 반도체 물질, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 등과 같은 절연 물질 및 도전성 금속 물질로 구성된 그룹 중 어느 하나를 포함할 수 있다.
식각 마스크(EM)는 예컨대, 리소그래피 공정을 통해 수행될 수 있다. 리소그래피 공정은, 스핀 코팅을 통해 포토레지스트 물질을 식각 대상층(ETL) 상에 형성한 이후, 상기 포토레지스트 물질을 노광하고 현상하여 소정의 패턴을 갖는 식각 마스크(EM)를 제공하는 공정이다. 스핀 코팅을 통해 포토레지스트층을 형성한 이후, 웨이퍼 가장자리 부분에 형성된 에지 비드를 용해액(Solvent) 및 레이저로 제거하는 에지 비드 제거 공정이 더 수행될 수 있다. 식각 대상층(ETL)의 두께에 따라, 식각 마스크(EM) 식각 대상층 사이에 비정질 탄소층 및 실리콘 산질화물층으로 구성된 하드마스크가 더 제공될 수 있다.
이어서, 도 2b 및 도 3 내지 도 4b를 참조하면, P120에서 제1 식각 공정을 수행할 수 있다.
예시적인 실시예들에 따르면, 제1 식각 공정은 반응성 이온 빔들(RIB)을 Z 방향에 대해 제1 각도(θ1)로 조사하여 식각 마스크(EM)에 의해 커버되지 않고 노출된 식각 대상층(ETL) 부분을 식각하는 것을 포함할 수 있다.
예시적인 실시예들에 따르면, 제1 식각 공정이 수행되는 동안 웨이퍼(W)에 인가된 제3 전압(V3)은 도 2b에서와 같이, 제2 전극(155)에 인가된 제2 전압(V2)보다 더 낮을 수 있다. 이에 따라, 반응성 이온 빔들(RIB)은 Z 방향에 가까운 제1 각도(θ1)로 웨이퍼(W)에 입사할 수 있고, 식각 마스크(EM)에 형성된 패턴이 식각 대상층(ETL)에 전사되어 Y 방향으로 연장되는 제1 및 제2 패턴들(P1, P2)이 형성될 수 있다.
이때, 공정의 미세화로 인해 제1 및 제2 패턴들(P1, P2) 사이의 거리가 극도로 가까워짐에 따라 제1 및 제2 패턴들(P1, P2) 사이에 제1 및 제2 패턴들(P1, P2)의 연장 방향에 수직한 방향(즉, X 방향)으로 연장되고, 제1 및 제2 패턴들(P1, P2)에 연결된 브리지 결함(BD)이 형성될 수 있다. 이러한 브리지 결함(BD)은 의도치 않은 단락 또는 개방을 유발할 수 있는바 소자 불량(Device Failure)을 유발할 수 있다.
이어서, 도 2b, 도 3, 도 4b 및 도 4c를 참조하면, P130에서 웨이퍼(W)에 제2 식각 공정을 수행할 수 있다.
예시적인 실시예들에 따르면, 제2 식각 공정은 반응성 이온 빔들(RIB)을 Z 방향에 대해 제2 각도(θ2)로 조사하여 제1 및 제2 패턴들(P1, P2)의 손상 없이 브리지 결함(BD)을 제거하는 것을 포함할 수 있다.
예시적인 실시예들에 따르면, 제2 식각 공정이 수행되는 동안 웨이퍼(W)에 인가된 제3 전압(V3)은 도 2c에서와 같이, 제2 전극(155)에 인가된 제2 전압(V2)보다 더 높을 수 있다. 이에 따라, 반응성 이온 빔들(RIB)은 제2 각도(θ2)로 웨이퍼(W)에 입사할 수 있다.
도 5a 내지 도 5c는 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 단면도들이다.
도 5a를 참조하면, 웨이퍼(W) 상에 제1 내지 제4 물질층들(ML1, ML2, ML3, ML4)을 형성할 수 있다.
도시되지 않았으나, 웨이퍼(W) 내에 활성 영역을 정의하기 위한 소자 분리막, 트랜지스터 및 배선층이 형성될 수 있다.
제1 물질층(ML1)은 자성 물질을 포함할 수 있다. 제1 물질층(ML1)은 예컨대, CoFeB, CoFe, NiFe, FePt, 및 CoPt 등을 포함할 수 있다. 예시적인 실시예들에 따르면, 제1 물질층(ML1)은 합성 반강자성층(Synthetic Anti-Ferromagnetic, 이하 SAF)을 포함할 수 있으나, 이에 제한되는 것은 아니다. 예컨대, 제1 물질층(ML1)은 단일층으로 구성될 수도 있다. 제1 물질층(ML1)은 MBE(Molecular Beam Epitaxy,) 또는 MOCVD(MetalOrganic Chemical Vapor Deposition) 공정에 의해 형성될 수 있다. 제1 물질층(ML1)은 약 200 ∼ 400 ℃의 비교적 저온의 공정 온도하에서 형성될 수 있다.
제2 물질층(ML2)은 금속, 합금, 금속 산화물(metal oxides), 금속 질화물(metal nitrides), 금속 산질화물(metal oxynitrides), 또는 이들의 조합을 포함할 수 있다. 일부 실시예들에 따르면, 제2 물질층(ML2)은 전이금속을 포함할 수 있다. 일부 실시예들에 따르면, 제2 물질층(ML2)은 Mo, W, Ta, Ti, Zr, Hf, V 및 Nb 등의 물질이나 이의 합금물질 등을 포함할 수 있다. 제2 물질층(ML2)은 ALD(Atomic Layer Deposition) 또는 스퍼터링(sputtering)등의 공정에 의해 제공될 수 있다.
제3 물질층(ML3)은 강자성 물질을 포함할 수 있다. 제3 물질층(ML3)은 제3 물질층(ML3)의 상면에 수직인 방향으로 자화 용이축을 가진다. 일부 실시예들에서, 제3 물질층(ML3)은 CoaFebBcZ(1-a-b-c)(식중, Z는 도판트이고, a, b 및 c는 각각 원자비로서, 0 ≤ a ≤ 0.9, 0 ≤ b ≤ 0.9, 및 0 ≤ c ≤ 0.4 이고, a, b 및 c가 동시에 0은 아님)로 표시되는 물질로 이루어지는 단일막, 또는 다중막을 포함할 수 있다. 제3 물질층(ML3)에서 도판트(Z)는 Si, Cr, Al, Ta, Hf, Zr, Ni, V, Mo, P, C, W, Nb, Mn 및 Ge 중에서 선택되는 적어도 하나의 원소로 이루어질 수 있고 필요에 따라 생략 가능하다. 예시적인 실시예들에 있어서, 제3 물질층(ML3)은 체심입방(body-centered cubic: BCC) 구조의 (001) 결정면을 따라 배향된 구조를 가질 수 있다.
제4 물질층(ML4)은 Ru, W, TiN, TaN, Ti, Ta, 또는 금속성 유리 합금 중에서 선택되는 적어도 하나의 물질을 포함할 수 있다. 예를 들면, 제4 물질층(ML4)은 Ru/TiN 또는 TiN/W의 이중층 구조를 가질 수 있다.
이어서 도 2b, 도 5a 및 도 5b를 참조하면, Z 방향에 대해 낮은 각도로 입사하는 반응성 이온 빔들(RIB)을 이용하여 제1 내지 제4 물질층들(ML1, ML2, ML3, ML4)을 식각할 수 있다. 일부 실시예들에 따르면, 제1 내지 제4 물질층들(ML1, ML2, ML3, ML4)의 식각은, 제4 물질층(ML4) 상에 식각 마스크를 형성하여 제4 물질층(ML4)을 패터닝한 이후, 패터닝된 제4 물질층(ML4)을 식각 마스크로 제1 내지 제3 물질층들(ML1, ML2, ML3)을 식각하는 것을 포함할 수 있다.
이에 따라, 서로 수평적으로 분리된 복수의 고정층들(PL), 서로 수평적으로 분리된 복수의 배리어층들(BL), 서로 수평적으로 분리된 복수의 자유층들(FL) 및 서로 수평적으로 분리된 복수의 상부 전극들(TE)이 형성될 수 있다. 이때, 제1 내지 제3 물질층들(ML1, ML2, ML3)을 식각하는 과정에서 생성된 비산물들이 복수의 고정층들(PL), 복수의 배리어층들(BL) 및 복수의 자유층들(FL)의 측벽에 퇴적되어 브리지 결함(BD')이 형성될 수 있다.
이어서, 도 2c, 도 5b 및 도 5c를 참조하면, Z 방향에 대해 높은 각도로 입사하는 반응성 이온 빔들(RIB)을 이용하여 브리지 결함(BD')을 제거할 수 있다. 이에 따라, 후속 공정을 통해 제조되는 반도체 소자의 단락 불량을 방지할 수 있는바, 반도체 소자 제조 방법의 신뢰성이 제고될 수 있다.
도 5a 내지 도 5c에서, 복수의 고정층들(PL), 배리어층들(BL) 및 자유층들(FL)로 구성된 자기 터널링 접합 메모리 소자의 제조 방법을 설명하였으나, 이는 예시를 위한 것으로서 어떠한 의미에서도 본 발명의 기술적 사상을 제한하는 것은 아니다. 당업계의 통상의 기술자는 여기에 설명된 바에 기초하여, 상변화 메모리 소자 및 강유전체 메모리 소자 등의 제조와 같이 서로 다른 입사 각도의 식각이 수행되는 등 다양한 종류의 반도체 소자의 제조 방법에 도달할 수 있을 것이다.
도 6 내지 도 7c는 예시적인 실시예들에 따른 웨이퍼 처리 장치(101)를 설명하기 위한 도면들이다.
설명의 편의상 도 1을 참조하여 설명한 것과 중복되는 것을 생략하고, 차이점을 위주로 설명하도록 한다.
도 6을 참조하면, 웨이퍼 처리 장치(101)는, 챔버 바디(110), 웨이퍼 지지대(120), 가스 주입구(131), 공정 가스 배출구(135), 플라즈마 발생 장치(140), RF 전원(145), 제1 및 제2 전극들(151, 155) 및 제1 및 제3 전원들(161, 165)을 포함할 수 있다.
웨이퍼 처리 장치(101)는 웨이퍼 처리 장치(100, 도 1 참조)와 달리, 제2 전극(155)에 전원을 공급하기 위한 제2 전원이 생략될 수 있고, 제2 전극(155)에 기준 전위(GND)가 인가될 수 있다. 기준 전위(GND)는 챔버 바디(110)의 전위와 같을 수 있으나 이에 제한되는 것은 아니다.
도 7a를 참조하면, 제1 전극(151)에 인가된 제1 전압(V1)은 기준 전위(GND)보다 높을 수 있고, 이에 따라 제1 및 제2 전극들(151, 155) 사이에서 플라즈마에 포함된 양이온들이 가속되어 반응성 이온 빔들(RIB)이 형성될 수 있다. 웨이퍼(W)에 인가된 제3 전압(V3)이 기준 전위(GND)와 실질적으로 동일할 때, 제2 전극(155) 및 웨이퍼(W) 사이에 실질적으로 전기장이 형성되지 않을 수 있고, 웨이퍼(W)에 입사하는 반응성 이온 빔들(RIB)이 제2 전극(155)과 웨이퍼(W) 사이에서 실질적으로 직진할 수 있다. 이에 따라 반응성 이온 빔들(RIB)은 제1 및 제2 전극들(151, 155)에 형성된 어퍼쳐들(151ap, 155ap)의 지향각(θap)으로 웨이퍼(W)에 입사할 수 있다.
도 7b를 참조하면, 제1 전압(V1)은 도 7a에서와 마찬가지로 기준 전위(GND)보다 높을 수 있고, 제1 전압(V1)과 기준 전위(GND)의 차이에 의해 플라즈마에 포함된 양 이온들이 가속되어 반응성 이온 빔들(RIB)이 형성될 수 있다. 제3 전압(V3)은 기준 전위(GND)보다 더 낮을 수 있고, 제2 전극(155)과 웨이퍼(W) 사이에 웨이퍼(W)를 향하는 전기장이 형성될 수 있다. 이에 따라, 반응성 이온 빔들(RIB)은 웨이퍼(W)를 향하여 Z 방향으로 가속될 수 있고, Z 방향과 반응성 이온 빔들(RIB)의 입사 방향 사이의 각도인 제1 각도(θ1)는 어퍼쳐들(151ap, 155ap)의 지향각(θap, 도 7a 참조)보다 작을 수 있다.
도 7c를 참조하면, 제1 전압(V1)은 도 7a에서와 마찬가지로 기준 전위(GND)보다 높을 수 있고, 제1 전압(V1)과 기준 전위(GND)의 차이에 의해 플라즈마에 포함된 양 이온들이 가속되어 반응성 이온 빔들(RIB)이 형성될 수 있다. 제3 전압(V3)은 기준 전위(GND)보다 더 높을 수 있고, 제2 전극(155)과 웨이퍼(W) 사이에 웨이퍼(W)의 반대 방향을 향하는 전기장이 형성될 수 있다. 이에 따라, 반응성 이온 빔들(RIB)은 웨이퍼(W)를 향하여 Z 방향으로 감속될 수 있고, Z 방향과 반응성 이온 빔들(RIB)의 입사하는 방향 사이의 각도인 제2 각도(θ2)는 어퍼쳐들(151ap, 155ap)의 지향각(θap, 도 7a 참조)보다 클 수 있다.
도 8은 예시적인 실시예들에 따른 웨이퍼 처리 장치(102)를 설명하기 위한 도면이다.
설명의 편의상 도 1을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 8을 참조하면, 웨이퍼 처리 장치(102)는, 챔버 바디(110), 웨이퍼 지지대(120), 가스 주입구(131), 공정 가스 배출구(135), 플라즈마 발생 장치(142), RF 전원(145), 제1 및 제2 전극들(151, 155) 및 제1 및 제3 전원들(161, 165)을 포함할 수 있다.
예시적인 실시예들에 따르면, 웨이퍼 처리 장치(102)는 CCP(Capacitively Coupled Plasma) 설비일 수 있다. 이에 따라, 전극 형태의 플라즈마 발생 장치(142)를 포함할 수 있다. 플라즈마 발생 장치(142)는 RF 전원(145)으로부터 RF 전력을 공급받아 플라즈마 영역(PLR)에 고주파 전기장을 형성할 수 있고, 상기 고주파 전기장에 의해 이에 따라, 플라즈마 영역(PLR)에 플라즈마가 생성될 수 있다.
도 9는 예시적인 실시예들에 따른 웨이퍼 처리 장치(103)를 설명하기 위한 도면이다.
설명의 편의상 도 1을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 9를 참조하면, 웨이퍼 처리 장치(103)는, 챔버 바디(110), 웨이퍼 지지대(120), 가스 주입구(131), 공정 가스 배출구(135), 플라즈마 발생 장치(143), RF 전원(145), 제1 및 제2 전극들(151, 155) 및 제1 및 제3 전원들(161, 165)을 포함할 수 있다.
웨이퍼 처리 장치(103)는, 마이크로파 플라즈마 설비일 수 있다. 웨이퍼 처리 장치(103)는 웨이퍼 처리 장치(100, 도 1 참조)와 유사하되, 도파로 구현된 플라즈마 발생 장치(143)를 포함할 수 있다.
플라즈마 발생 장치(143)는 외부에서 발생한 마이크로 파를 플라즈마 영역(PLR)로 도입할 수 있다. 플라즈마 발생 장치(143)에 의해 도입되는 마이크로 파는 예컨대, 패치 안테나, 다이폴 안테나, 모노폴 안테나, 마이크로스트립 안테나, 슬롯 안테나, 야기 안테나 등에 의해 발생할 수 있다. 예시적인 실시예들에 따르면, 플라즈마는 외부의 마이크로파에 의해 생성되는 바, RF 전원(도 1의 190 참조)은 생략될 수 있다.
이상에서, ICP 소스, CCP 소스 및 마이크로파 플라즈마 소스에 기초한 웨이퍼 처리 장치들에 대해서 설명하였으나, 당업계의 통상의 기술자는 여기에 설명한 바에 기초하여, ECR(Electron Cyclotron Resonance) 플라즈마 소스, 헬리콘파 여기 플라즈마(HWEP: Helicon-Wave Excited Plasma) 소스 및 ACP(Adaptively Coupled Plasma) 소스 중 어느 하나에 의해 형성된 플라즈마를 이용하여, 저각도 및 고각도의 식각 공정이 가능한 플라즈마 설비에 용이하게 도달할 수 있을 것이다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.

Claims (10)

  1. 플라즈마가 생성되는 플라즈마 영역을 정의하는 챔버 바디;
    상기 챔버 바디 내에 배치되고, 웨이퍼를 지지하는 웨이퍼 지지대;
    상기 웨이퍼 지지대와 상기 플라즈마 영역 사이에 개재되고, 상기 플라즈마에 포함된 이온들의 경로를 제한하는 어퍼쳐들이 형성된 제1 및 제2 전극들;
    상기 제2 전극에 인가된 전압 보다 높은 전압을 상기 제1 전극에 인가하도록 구성된 제1 전원; 및
    상기 제2 전극에 인가된 전압 보다 높은 전압을 상기 웨이퍼 지지대에 인가하도록 구성된 제2 전원을 포함하는 웨이퍼 처리 장치.
  2. 제1항에 있어서,
    상기 제1 전원은 상기 이온들을 가속시켜 반응성 이온 빔을 생성하는 것을 하는 웨이퍼 처리 장치.
  3. 제2항에 있어서,
    상기 제2 전원은 상기 반응성 이온 빔의 경로를 제어하는 것을 특징으로 하는 웨이퍼 처리 장치.
  4. 제2항에 있어서,
    상기 제2 전원은, 상기 반응성 이온 빔의 경로를, 상기 어퍼쳐들의 지향각과 다르게 하는 것을 특징으로 하는 웨이퍼 처리 장치.
  5. 제1항에 있어서,
    상기 제1 전원에 의해 상기 제1 전극에 인가된 전압은, 상기 제2 전원에 의해 상기 웨이퍼 지지대에 인가된 전압보다 더 높은 것을 특징으로 하는 웨이퍼 처리 장치.
  6. 제1항에 있어서,
    상기 제2 전극에 상기 챔버 바디에 인가된 기준 전위와 다른 제3 전압을 인가하도록 구성된 제3 전원을 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  7. 제1항에 있어서,
    상기 제2 전원은 상기 웨이퍼 지지대에 상기 제2 전극에 인가된 전압 보다 낮은 전압을 상기 웨이퍼 지지대에 더 인가하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  8. 제1항에 있어서,
    상기 제1 및 제2 전극들은 상기 웨이퍼의 상면에 수평한 방향으로 이동 가능하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  9. 반응성 이온 빔을 이용한 웨이퍼 식각 공정을 수행하도록 구성된 웨이퍼 처리 장치로서, 상기 웨이퍼 처리 장치는,
    플라즈마가 생성되는 플라즈마 영역을 정의하고 상기 웨이퍼가 배치되는 챔버 바디;
    상기 플라즈마 영역과 상기 웨이퍼 사이에 개재되고, 상기 플라즈마에 포함된 이온들의 경로를 제한하는 어퍼쳐들이 형성된 제1 및 제2 전극들;
    상기 플라즈마를 생성하기 위한 RF 전력을 제공하도록 구성된 RF 전원;
    상기 플라즈마에 포함된 양이온들을 가속하여 상기 반응성 이온 빔을 생성하기 위한 제1 전압을 상기 제1 전극에 인가하도록 구성된 제1 전원; 및
    상기 반응성 이온 빔의 경로를 제어하기 위한 제2 전압을 상기 웨이퍼에 인가하도록 구성된 제2 전원을 포함하는 웨이퍼 처리 장치.
  10. 제9항에 있어서,
    상기 제2 전압은 상기 제1 전압 보다 작은 것을 특징으로 하는 웨이퍼 처리 장치.

KR1020200166005A 2020-12-01 2020-12-01 웨이퍼 처리 장치 KR20220076976A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020200166005A KR20220076976A (ko) 2020-12-01 2020-12-01 웨이퍼 처리 장치
US17/370,766 US12014904B2 (en) 2020-12-01 2021-07-08 Wafer processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200166005A KR20220076976A (ko) 2020-12-01 2020-12-01 웨이퍼 처리 장치

Publications (1)

Publication Number Publication Date
KR20220076976A true KR20220076976A (ko) 2022-06-08

Family

ID=81751636

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200166005A KR20220076976A (ko) 2020-12-01 2020-12-01 웨이퍼 처리 장치

Country Status (2)

Country Link
US (1) US12014904B2 (ko)
KR (1) KR20220076976A (ko)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100851901B1 (ko) 2005-01-07 2008-08-13 삼성전자주식회사 이온 빔 추출장치
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
KR101385750B1 (ko) * 2007-11-30 2014-04-18 삼성전자주식회사 중성빔을 이용하는 기판 처리 장치 및 방법
JP5246474B2 (ja) 2008-02-08 2013-07-24 Tdk株式会社 ミリング装置及びミリング方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
TWI690968B (zh) * 2014-03-07 2020-04-11 美商應用材料股份有限公司 用於修改基板表面的掠射角電漿處理
US10825652B2 (en) * 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
KR102673632B1 (ko) 2016-12-06 2024-06-13 삼성전자주식회사 이온 빔 추출을 위한 슬릿 구조체를 포함하는 이온 빔 장비, 및 이를 이용한 식각 방법 및 자기기억소자의 제조방법
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
KR20190021511A (ko) 2017-08-22 2019-03-06 (주)제이 앤 엘 테크 자장을 이용한 아크 이온빔 분산 및 방향 제어 장치와 이를 활용한 플라즈마 표면 처리 시스템
KR102595297B1 (ko) 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source

Also Published As

Publication number Publication date
US20220172930A1 (en) 2022-06-02
US12014904B2 (en) 2024-06-18

Similar Documents

Publication Publication Date Title
US10354873B2 (en) Organic mandrel protection process
US9570317B2 (en) Microelectronic method for etching a layer
US7815814B2 (en) Method and system for dry etching a metal nitride
US8183161B2 (en) Method and system for dry etching a hafnium containing material
US10734201B2 (en) Substrate processing apparatus
JP5328731B2 (ja) プラズマ・エッチング中に帯電粒子からウェハを遮蔽する方法
US20130105303A1 (en) Process chamber for etching low k and other dielectric films
US20090095714A1 (en) Method and system for low pressure plasma processing
KR102454586B1 (ko) 성막 방법 및 플라즈마 처리 장치
US11145808B2 (en) Methods for etching a structure for MRAM applications
US9786471B2 (en) Plasma etcher design with effective no-damage in-situ ash
KR102250213B1 (ko) 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
US8642482B2 (en) Plasma etching method, control program and computer storage medium
US11877519B2 (en) Semiconductor device manufacturing method
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
US8282844B2 (en) Method for etching metal nitride with high selectivity to other materials
US12014904B2 (en) Wafer processing apparatus
KR20210020808A (ko) 기판 처리 방법, 압력 제어 장치 및 기판 처리 시스템
KR20190006205A (ko) 유기 멘드렐 보호 공정
Peng et al. Plasma-based processes and thin film equipment for nano-scale device fabrication
US20230031722A1 (en) Voltage Control for Etching Systems
US20220254649A1 (en) Atomic layer etch and ion beam etch patterning
US11049537B2 (en) Additive patterning of semiconductor film stacks
TWI546846B (zh) 圖案化的方法與圖案化的裝置
US20210399214A1 (en) Method for manufacturing magnetic tunnel junction

Legal Events

Date Code Title Description
A201 Request for examination