CN102728580A - 基板清洗装置以及真空处理系统 - Google Patents

基板清洗装置以及真空处理系统 Download PDF

Info

Publication number
CN102728580A
CN102728580A CN2012100867864A CN201210086786A CN102728580A CN 102728580 A CN102728580 A CN 102728580A CN 2012100867864 A CN2012100867864 A CN 2012100867864A CN 201210086786 A CN201210086786 A CN 201210086786A CN 102728580 A CN102728580 A CN 102728580A
Authority
CN
China
Prior art keywords
gas
substrate
wafer
base plate
periphery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012100867864A
Other languages
English (en)
Inventor
土桥和也
井内健介
清水昭贵
安田健太
吉野裕
相田敏广
妹尾武彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Iwatani Corp
Original Assignee
Tokyo Electron Ltd
Iwatani Sangyo KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Iwatani Sangyo KK filed Critical Tokyo Electron Ltd
Publication of CN102728580A publication Critical patent/CN102728580A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

本发明提供一种能够在抑制对基板的有效区域的负面影响的状态下,良好地清洗基板的周边部的不要部位的技术。将在蚀刻工序中暴露而导致表面侧斜面部生成有针状突起群T,并且在背面侧的斜面部附着有复合化合物P的晶圆W放置于真空室内的旋转台。在真空室内,在晶圆W的上方侧以及下方侧设置有气体团簇喷嘴,从这些喷嘴向晶圆W的表面侧以及背面侧的斜面部照射与清洗处理对应的清洗气体的团簇C,并利用基于气体团簇C的碰撞的物理作用、和气体与除去对象部位的化学作用,除去针状突起群T以及复合化合物P。并且,通过将清扫气体向气体团簇C的照射位置排出,以防止由于清洗而产生的飞散物向晶圆W的再附着。

Description

基板清洗装置以及真空处理系统
技术领域
本发明涉及清洗基板的周边部的技术。
背景技术
半导体装置的制造工艺中,研究了在半导体晶圆(以下,称为晶圆。)上层叠多层膜后在其上形成抗蚀掩模,使用该抗蚀掩模并在单独的蚀刻装置上利用各膜所对应的蚀刻气体在上述多层膜上形成孔、槽的工序。该一系列工序中,在晶圆上形成多层膜后,利用湿式清洗装置除去周边部的膜,之后在多层膜上形成抗蚀剂图案。因此,在蚀刻该晶圆时,晶圆的周边露出基材、即硅。因此,晶圆的周边部的硅部分在蚀刻工序中被暴露而导致其表面被切削,变形为密集了针状的锐角突起群的表面状态。另外,晶圆的背面侧的周边部未被等离子体照射,因此在晶圆的表面附着并堆积了由等离子体与膜发生反应而生成的例如聚合物等反应生成物,而成为不希望的颗粒产生的主要因素。
即使欲利用等离子体清洁来除去形成于晶圆的表面侧的周边部的硅的锐角状的凹凸部分(针状突起群),也会由于清洁气体的等离子体具有各向异性而很难除去,而且,在利用刷清洗时,无法擦去突起群T。另外,在使用CMP(Chemical Mechanical Polishing:化学机械剖光)方法中,虽能够削去突起群,但存在使晶圆的表面污染这样的问题。另外,对于附着于晶圆的背面侧的周边部的附着物而言,由于由多种材料构成,因此在进行干式清洁(等离子体清洁)时需要根据膜的材料而改变清洁气体,但存在受限于晶圆的周边部而难于处理,导致晶圆的装置区域损伤这样的问题。并且,在湿式清洗中也存在相同的问题,还存在已除去的附着物再附着的问题。
另一方面,在专利文献1中虽记载了通过不使气体团簇离子化地照射半导体基板,来进行形成于半导体基板、其表面的薄膜层的蚀刻、平坦化,但对于清洗晶圆的周边部没有进行记载。
专利文献1:国际公开2010/021265号
发明内容
本发明正是在这样的背景下做出的,其目的在于提供一种能够在抑制对基板的有效区域的负面影响的状态下,很好地清洗基板的周边部的不要部位的技术。
本发明的基板清洗装置的特征在于,具备:
保持部,其被设置于具有排气口的处理室内,用于保持基板;
喷嘴部,为了除去上述保持部所保持的基板的周边部的不要部位,而用于对该周边部照射气体团簇;和
移动机构,其用于在上述气体团簇的照射时使上述保持部和上述喷嘴部相对地移动,
上述喷嘴部通过排出压力比上述处理室内的压力高的清洗气体来使清洗绝热膨胀而形成清洗气体的原子或者分子的集合体、即气体团簇。
另外,本发明的真空处理系统,具备:
真空搬运室,其在真空环境下搬运基板;和
真空处理模块,其经由分隔阀与该真空搬运室连接,用于对形成于基板的表面薄膜进行干式蚀刻或者在基板上形成薄膜;
上述基板清洗装置,经由分隔阀与上述真空搬运室连接,用于对在上述真空处理模块中被真空处理后的基板的周边部进行清洗。
本发明在除去基板的周边部的不要部位时,使基板相对于喷嘴部相对地移动或者旋转,并且向上述不要部位供给清洗气体的团簇(原子或者分子的集合体),该清洗气体的团簇是通过在比喷嘴部内的压力低的处理环境中,从喷嘴部排出清洗气体而形成的。能够局部地照射气体团簇,因此即使进行作为除去对象的不要部位的清洗,也能够在抑制基板的污染的状态下很好地清洗基板的周边部而不对基板的装置区域等的有效区域造成影响。
附图说明
图1是表示本发明的实施方式的真空处理系统的整体的俯视图。
图2是表示上述实施方式所使用的基板清洗装置的概要的纵剖视图。
图3是表示上述基板清洗装置的概要的俯视图。
图4是表示设置于上述基板清洗装置的团簇喷嘴(cluster nozzle)的纵剖视图。
图5是表示上述团簇喷嘴的概要的纵剖视图。
图6是说明上述团簇喷嘴的气体供给系的概要的配管图。
图7是表示对形成在上述实施方式中的晶圆上的多层膜进行蚀刻的概要的纵剖视图。
图8是说明基于本实施方式中的第1团簇喷嘴的、晶圆的周边部的针状突起群的平坦化的作用图。
图9是说明基于本实施方式中的第2团簇喷嘴的、附着物的除去的作用图。
图10是表示本实施方式的变形例中的上述基板清洗装置的概要的俯视图。
图11的(a)是对本发明的实施例中的表面形成有针状突起群的晶圆进行气体团簇照射前的晶圆的表面的SEM照片;(b)是对本发明的实施例中的表面形成有针状突起群的晶圆进行气体团簇照射后的表面的SEM照片。
附图标记的说明
C  气体团簇;G  气体的分子以及原子;P  附着于晶圆周边部的背面的附着物;T  形成于晶圆周边部的硅的针状突起群;W  晶圆;1  大气搬运室;2  真空搬运室;22  真空搬运室内的搬运机构;3  蚀刻装置;31  第1真空室;4  基板清洗装置;41  第2真空室;42  静电卡盘;45  排气口;5  第1团簇喷嘴;54  第1团簇喷嘴的角度调整机构;6  第2团簇喷嘴;64  第2团簇喷嘴的角度调整机构;7  清扫气体喷嘴;8  清洗气体供给系;9  控制部
具体实施方式
图1是表示具有作为本发明的实施方式的基板清洗装置4的真空处理系统的图。该真空处理系统具有平面形状为长方形的大气搬运室1。在大气搬运室1中的一方长边侧设置有用于对半导体(硅)晶圆W进行搬入搬出的搬入搬出口。搬入搬出口具有收纳多个晶圆W的、载置有搬运容器、即FOUP的多个搬入搬出台13、和设置于各搬入搬出台13的门14。
而且在大气搬运室1中与搬入搬出台13相反侧,经由左右配置的两个真空进样室(load lock)15(预备真空室)与例如平面形状为六边形的真空搬运室2连接。在大气搬运室1中的短边侧与具有用于对晶圆W进行对位的定方位器(orienter)的对准模块16连接。在大气搬运室1内具有用于将晶圆W在搬入搬出台13、真空进样室15以及对准模块16之间进行交接的搬运机构12。
真空搬运室2利用未图示的真空泵将其室内保持为真空环境,并与构成蚀刻装置3的处理环境的第1真空室31以及构成基板清洗装置4的处理环境的第2真空室41连接。另外,在该真空搬运室2中具有用于将晶圆W在真空进样室15、对准模块16、蚀刻装置3以及基板清洗装置4之间进行交接的搬运机构22。其中,图1中的G1~G3是构成分隔阀的闸阀。
另外,该真空处理系统具有控制部9,通过存储于该控制部9的存储部的程序以及包含处理方法软件,进行晶圆W的搬运、各闸阀G1~G3以及门14的开闭并且进行各真空室31、41中的处理以及真空度的调整。
作为蚀刻装置3,能够使用电容耦合型等离子体方式、介电线圈等离子体方式等公知的装置,在电容耦合型等离子体方式的情况下被构成为,在真空室31内使上部电极、下部电极对置,在两电极间施加高频来对处理气体进行等离子体化,通过向下部电极施加偏压将该等离子体中的离子导入至下部电极上的晶圆W,以对晶圆W的表面进行蚀刻。
如图2所示,基板清洗装置4的第2真空室41具有用于将晶圆W以水平姿势吸附保持的静电卡盘42。该静电卡盘42经由旋转轴43被固定于第2真空室41的底部的移动机构、即旋转机构44支承,能够使吸附保持的晶圆W绕垂直轴旋转。
如图3所示,在第2真空室41的底部的侧壁附近,设置有沿水平(图3中X方向)延伸的引导件51,并且设置有一边被该引导件51移到一边被未图示的滚珠丝杠机构驱动而移动的移动体52。如图4所示,在该移动体52的上部设置有沿垂直上方(图中Z方向)延伸,并且在其前头弯曲成直角,以与引导件的延伸方向正交的方式沿水平(图中Y方向)延伸的支承部件53。在该支承部件53的前端部借助角度调整机构54以位于被静电卡盘42吸附保持的晶圆W的上方的方式设置有第1团簇喷嘴5。该角度调整机构54由包含具有沿Y方向延伸的旋转轴54a的电机的驱动机构构成,由于第1团簇喷嘴5被设置于该旋转轴54a,因此能够利用角度调整机构54调整气体团簇的照射角度。
如图5所示,该第1团簇喷嘴5具有圆筒状的压力室50,该第1团簇喷嘴5的基端侧与由各个配管构成的第1气体流路55a以及第2气体流路55b连接。第1气体流路55a的基端侧与三氟化氯(ClF3)气体供给源56连接,在它们之间设置有例如由质量流量计构成的流量调整部59a以及阀。另外,第2气体流路55b的基端侧与氩气(Ar)气体供给源57连接,在它们之间设置有例如由质量流量计构成的流量调整部59b以及阀。并且,虽未图示,但还设置有检测压力室50内的压力的压力计,利用流量调节部59a、59b以及压力计能够调整压力室50内的压力、ClF3气体以及Ar气体的流量比。
ClF3气体是从第1团簇喷嘴5照射的气体团簇C的主成分,其能够对晶圆W的周边部的硅的针状突起群T进行物理性以及化学性削去而平坦化。另外,对于作为惰性气体的Ar气体而言,通过提高第1团簇喷嘴5的供给区域侧(压力室50内)的压力而使气体团簇C的排出速度增加,用作使其指向性、局部加工性变得更好的升压用气体。而且,通过使第1团簇喷嘴5的供给区域侧的压力变高,能够使第2真空室41内的压力也与上述供给区域对应地变高,有利于缓和装置所要求的真空性能等。此外,在图2中,将第1气体流路55a以及第2气体流路55b合起来记载为配管55,将ClF3气体供给源56、Ar气体供给源57、与它们连接的流量调整部59a、59b、阀以及压力计合起来记载为清洗气体供给系8。该清洗气体供给系8还包含后述的第2团簇喷嘴6所使用的清洗气体的供给系。
另外,如图5所示,第1团簇喷嘴5的前端侧扩展为喇叭状。并且,相当于该扩开部的根元部位的第1团簇喷嘴5的排出口成为孔形状,距晶圆W的表面的高度位置以及到照射气体团簇C的晶圆W的周边的水平距离被分别被设定为例如10mm以及10mm。如后述那样,从该第1团簇喷嘴5排出的气体通过被急剧的减压而绝热膨胀,利用范德华力使处理气体的原子、分子G结合而成为集合体(气体团簇)C,并照射形成于晶圆W的周边部的针状突起群T。
另一方面,在第2真空室41内的晶圆W的下方位置,以能够朝向晶圆W的周边部照射气体团簇的方式设置有第2团簇喷嘴6。如图3所示,该第2团簇喷嘴6用的引导件61被设置成,隔着静电卡盘42与引导件51对置且平行。并且,设置还有一边被该引导件61引导,一边被未图示的滚珠丝杠机构驱动而移动的移动体62。在该移动体62的上部设置有沿垂直上方(图2中Z方向)延伸,并且在其前头弯曲成直角,以与引导的延伸方向正交的方式沿水平(图3中Y方向)延伸的支承部件63。在该支承部件63的前端部借助角度调整机构64设置有第2团簇喷嘴6,以使其位于被静电卡盘42吸附保持的晶圆W的下方。该角度调整机构64是包含具有沿Y方向延伸的旋转轴64a的电机的驱动机构,由于第2团簇喷嘴6被设置于该旋转轴64a,因此能够利用角度调整机构64调整气体团簇C的照射角度。
第2团簇喷嘴6的构造与第1团簇喷嘴5相同。其中,在第2团簇喷嘴6中使用作为清洗气体的臭氧(O3)气体、氟化氢(HF)气体、ClF3气体这3种清洗气体。因此,如侧图6所示,连接于第2团簇喷嘴6的配管的基端侧分支并分别连接于各清洗气体的供给系82、83、84,利用阀进行切换而分开使用这些各清洗气体的气体供给系82、83、84中的、所使用的清洗气体供给系,并混合Ar气体进行照射。图中81是Ar气体供给系。
另外,如图2以及图3所示,第2真空室41内,在晶圆W的上方侧设置有清扫气体喷嘴7,该喷嘴7被构成为,使例如Ar气体等清扫气体的气流在气体团簇C的照射位置处从晶圆W的中心部朝向外圆周部地形成。该清扫气体喷嘴7构成为,能够借助支承部件73以及移动体72沿引导件71向图3中X方向水平移动,以一定的角度向被静电卡盘42吸附保持的晶圆W的表面排出清扫气体。此外,还可以将角度调整机构设置于清扫气体喷嘴7。该清扫气体喷嘴7经由配管与设置于第2真空室41的外的清扫气体供给系80连接。该清扫气体供给系80由清扫气体供给源、流量调整部以及阀构成。
第2真空室41的底部的排气口45与排气管49连接,该排气管49经由压力调整部46设置有真空泵47,能够进行第2真空室41内的压力调整。
接着,对上述实施方式的作用进行说明。首先,将由收纳有晶圆W的例如FOUP构成的搬运容器载置于搬入搬出台13,将门14与搬运容器的盖体一起打开。接着,搬运容器内的晶圆W被大气搬运室1内的搬运机构12搬运到对准模块16,这里,晶圆W的朝向被调整为预先设定的朝向。然后,晶圆W经由搬运机构12、真空进样室15、真空搬运室2内的搬运机构22被搬入蚀刻装置3的真空室31内。
如图7(a)所示,在晶圆W的表面层叠多层膜,并且在其上形成抗蚀掩模100,晶圆W的周边部的抗蚀剂以及多层膜被除去,而露出了晶圆W的基材即硅。多层膜被构成为,从下侧依次层叠例如硅氧化膜层101、多晶硅层102、例如钛、钨等金属层103然后是有机膜104。此外,该多层膜的例子只不过是为了使发明容易理解而作为模型举出的一个例子。在蚀刻装置3中,根据膜的种类,一边切换处理气体、压力等处理条件,一边利用等离子体来依次蚀刻上述多层膜,以形成与抗蚀掩模100的图案对应的凹部。图7(b)是表示蚀刻结束后的晶圆W的示意图。如该图所示,在晶圆W的周边部的表面侧的斜面部,形成有构成不要部位的针状的突起群T,在其背面侧的斜面部,附着有由在蚀刻时生成的反应生成物等构成的不要部位、即附着物P。该附着物P是与多层膜的各膜对应的反应生成物的层叠体,在该例中,从晶圆W的板面侧按有机系、金属系、硅系然后是氟化碳系(CF系)的顺序层叠有各反应生成物等。
接着,该晶圆W被搬入基板清洗装置4的第2真空室41内,被静电卡盘42吸附保持,并利用旋转机构旋转。并且,利用压力调整部46将第2真空室41内维持为例如1Pa~100Pa的真空环境,利用例如流量调整部59a、59b将ClF3气体以及Ar气体以0.3MPa~2.0MPa的压力分别从气体流路55a、55b向第1团簇喷嘴5供给。此时的ClF3气体的浓度通过流量调整部59a、59b被设定为例如数体积%。在上述那样的高压状态下向第1团簇喷嘴5内供给的CIF3气体以及Ar气体由于从该第1团簇喷嘴5内向第2真空室41的真空内被一气释放出,因此绝热膨胀而导致气体的温度成为凝缩温度以下,在该例子中,Ar原子以及ClF3分子通过范德华力结合,以形成原子以及分子G的集合体、即气体团簇C。
该气体团簇C从第1团簇喷嘴5向该第1团簇喷嘴5的轴向前进并被释放出(图8(a)),朝向形成于晶圆W的周边部的针状突起群T并从倾斜的方向与斜面部的表面碰撞。这样,气体团簇C分解为个别的气体分子以及原子G,该解离后的气体分子以及原子G以比气体团簇的照射角度更接近与表面平行的角度被弹飞(图8(b)以及(C))。并且,该气体分子以及原子G与形成在表面的针状突起群T的突起碰撞并与硅反应,这样通过物理撞击与化学反应的两方作用,针状突起群T的突起的前端被切削,晶圆W的周边部的斜面部的表面侧被平滑化(图8(d))。此时,硅微粒子从晶圆W的表面部飞散,并借助从清扫气体喷嘴7吹出的清扫气体向晶圆W的外周方向被排出,与晶圆W碰撞而分解的气体的原子、分子被一起从排气管49排出。图8是表示利用气体团簇C对形成于晶圆W的周边部的针状突起群T进行平坦化的样子的示意图。这样,通过照射气体团簇C针状突起群T被平坦化的情况将在后述的实验中进行确认。
另一方面,基于第2团簇喷嘴6的附着物P的除去也是与上述的针状突起群T的平坦化同时进行的。对于该第2团簇喷嘴6中的、第2真空室41的气压、第2团簇喷嘴6内的压力以及清洗气体的体积浓度等气体团簇的照射条件,在下面所述的任一种气体种类中均与上述的第1团簇喷嘴5的条件相同。首先,如图9(a)所示,进行氟化碳系附着物(CF系附着物)P4的除去。例如利用流量调整部将O3气体调整为规定的流量并导入第2团簇喷嘴6。另一方面,也对惰性气体例如Ar气体通过流量调整部进行流量调整后,导入第2团簇喷嘴6。O3气体在第2团簇喷嘴6内与Ar气体混合而被稀释。并且,从第2团簇喷嘴6照射O3气体的团簇C,并使其与附着于晶圆W的背面的周边部的附着物P碰撞。此时,O3气体与附着物P的中的CF系附着物P4发生化学反应并且还伴有基于物理撞击的作用,使CF系附着物P4从晶圆W游离。该游离的反应生成物基于清扫气体以及真空泵47的吸引,经由排气口45被排出到第2真空室41之外。这样,CF系附着物P4被从晶圆W的周边部除去。
接下来,如图9(b)所示,进行硅系附着物P3的除去。首先,切换清洗气体供给系,利用流量调整部将与硅系附着物对应的清洗气体、即例如氟化氢(HF)气体调整为规定的流量后导入到第2团簇喷嘴6。另一方面,利用流量调整部也对惰性气体例如Ar气体进行流量调整后,导入到第2团簇喷嘴6。以下,与前述的CF系附着物P4的除去的情况同样地,通过将HF气体的团簇C照射附着物P,利用物理以及化学作用除去硅系附着物P3。
这次,如图9(C)所示,与前述的CF系附着物P4以及硅系附着物P3的除去同样地,通过将与金属系附着物对应的清洗气体、即例如ClF3气体的气体团簇C照射附着物P,来除去金属系附着物P2。
最后,如图9(d)所示,将与有机系附着物对应的清洗气体、即例如O3气体的团簇C照射附着物P,来除去有机系附着物P1。这样,附着于晶圆W的背面侧的周边部的附着物P的除去结束。
在本实施方式中,作为针对CF系附着物P4以及有机系附着物P1的清洗气体使用了O3气体,但除此以外还可是例如酒精类的气体、氟化碳系气体(CF系气体)、六氟化硫黄(SF6)气体等、只要是能够与有机系附着物发生化学反应来进行除去的气体即可。而且同样地,作为针对硅系附着物P3的清洗气体还可是HF气体以外的例如F2气体等,作为针对金属系附着物P2的清洗气体还可是ClF3气体以外的例如HF气体、F2气体、氯化氢(HCl)气体、氯(Cl2)气体等。
在利用气体团簇C对晶圆W的斜面部进行清洗的工序中,对于晶圆W的温度而言,能够在例如常温下进行,虽没有对温度进行特别的限定,但从工艺控制性的理由出发,优选例如0℃到100℃。
这样,晶圆W的周边部的清洗结束后,闸阀G3被打开,利用该真空搬运室2的搬运机构22,晶圆W被从第2真空室41搬出。
根据上述实施方式,在进行晶圆W的表面的周边部的针状突起群T的平坦化以及由附着于晶圆W的背面的周边部的复合膜构成的附着物P的除去时,在真空环境中使晶圆W旋转,并且使与各清洗处理对应的清洗气体的团簇C照射晶圆W的周边部。因此,通过基于气体团簇C的溅射效果的物理作用与基于反应性气体的化学作用的相辅相成的效果,如在后述的实施例中所证明的那样,能够削去硅的针状突起群T而平坦化,并能够除去复合膜、即附着物P。
此时,通过对照射位置朝晶圆W的外圆周方向吹出清扫气体,能够更进一步地抑制由于清洗而产生的飞散物对晶圆W的再附着。另外,气体团簇C由于能够进行局部的照射,因此即使仅实施不要部位(除去对象部位)的清洗处理,也不会对晶圆W的装置区域等有效区域造成影响,因此能够在抑制晶圆W的污染的状态下良好地清洗晶圆W的周边部。假设欲使等离子体进行局部性照射,则存在等离子体供给喷嘴自身受损伤、成为污染源的可能,但对气体团簇C而言则没有这样的担心,而且装置构造简便且廉价。
在上述的实施方式中,由于对第1以及第2团簇喷嘴5、6组合了角度调整机构54、64,因此利用该点,将排出气体团簇C的时间段分割为多个,例如在开始的第1时间段中以第1角度排出气体团簇C,在接下来的第2时间段中以第2角度排出气体团簇C等情况下,也可阶段性的切换角度。或者,还可以在第1角度与第2角度之间连续地改变角度,使团簇喷嘴5、6在清洗工序中重复所谓的摇头动作。
另外,第1、第2团簇喷嘴5、6由于能够向X方向移动,因此还可在上述第1时间段与第2时间段中改变在晶圆W的径向上的照射位置,也可连续地改变团簇喷嘴5、6的上述径向位置,例如可以使团簇喷嘴5、6在第1位置与第2位置之间往反移动。另外,还可以将已述那样的团簇喷嘴5、6的角度变更与晶圆W的径向位置的变更组合地进行。
在上述的实施方式中,虽然设置了一个第2团簇喷嘴6,来进行气体种类的切换,但本发明还可以如图10所示那样,例如按每一种气体设置多个第2团簇喷嘴6a、6b。在图10中,为了不使附图变得复杂,省略了第1团簇喷嘴5以及清扫气体喷嘴7和它们的支承部件53、73等,仅示出了它们的引导件51、71。
另外,在上述的实施方式中,虽然完全除去了附着于晶圆W的周边部的附着物P的构成成分,但关于附着物P的除去,只要成为下个工序所需要的状态即可,根据情况,可以不将全部的成分除去而仅除去一部的成分。
在上述的实施方式中,虽然从同一团簇喷嘴依次照射与附着物P中的多个构成成分对应的各清洗气体,但还可利用各清洗气体专用的多个团簇喷嘴同时向同一位置照射各种类气体的气体团簇C。
另外,在上述的实施方式中,虽然利用气体团簇对针状突起群T以及附着物P这两者进行了清洗处理,但也可仅针对针状突起群T应用气体团簇,而对于附着物P则利用例如湿式清洗等其他方法进行另外的除去。
在上述的实施方式中,虽然针对晶圆W的周边部的针状突起群T以及附着物P的清洗处理应用了本发明,但本发明并不局限于此,针对形成于晶圆上的薄膜,例如利用CVD处理仅除去其中晶圆周边部的薄膜的情况也适用本发明。
在上述的实施方式中,虽然在真空环境中利用气体团簇进行了清洗处理,但该清洗处理环境只要是比团簇喷嘴内的压力低的环境即可,也可以是常压环境。
作为被处理基板并不局限于晶圆W那样的圆形基板,还可是例如平板显示器(FPD)基板等角形基板。该情况下,能够采用一边使团簇喷嘴5、6沿基板的周边,从其一边的一端向另一端与基板相对地移动,一边进行处理的方法。
实施例
这里,对确认了本发明的硅的针状突起群的平坦化以及背面侧的附着物的除去涉及的效果的实验例进行描述。
(硅的针状突起群的平坦化)
预先准备了通过等离子体而在表面形成针状突起群的硅片。使用ClF3气体以及Ar气体(ClF3浓度为6体积%。)作为处理气体,并将气体团簇喷嘴内的压力设定为0.8MPa,将真空室的环境设为10Pa,将气体团簇对硅片的照射角度设定为45°,以硅片的表面部照射气体团簇。图11是在气体团簇的照射前以及照射后,利用SEM观察到的该硅片的表面的观察结果。该实验的结果确认了通过气体团簇的照射,硅的针状突起群的前端被切削并被平坦化。
(附着物的除去)
假设了金属系附着物、硅系附着物以及有机系附着物,并假设了各钨膜、SiO2膜以及有机膜,对形成了抗蚀剂膜的基板,垂直照射气体团簇,通过目视其照射痕迹的有无等来确认并判断能否使用本发明。对使用气体而言,针对钨膜照射利了用Ar气体稀释后的6体积%的ClF3气体,针对SiO2膜以及抗蚀剂膜分别照射了利用Ar气体稀释后的5体积%的HF气体以及5体积%的O3气体。其中,关于对抗蚀剂膜的照射,对将基板温度加热到90℃的情况下和室温的情况下进行了实验。关于钨膜以及SiO2膜,由于能够确认照射痕迹,因此确认了可利用本发明进行蚀刻。关于抗蚀剂膜,虽然在基板温度为室温的情况下未见照射痕迹,但确认了在基板温度为90℃的情况下可利用本发明进行蚀刻。

Claims (11)

1.一种基板清洗装置,其特征在于,具备:
保持部,其被设置于具有排气口的处理室内,用于保持基板;
喷嘴部,为了除去所述保持部所保持的基板的周边部的不要部位,而用于对该周边部照射气体团簇;和
移动机构,其用于在所述气体团簇的照射时使所述保持部和所述喷嘴部相对地移动,
所述喷嘴部通过排出压力比所述处理室内的压力高的清洗气体来使清洗气体绝热膨胀而形成清洗气体的原子或者分子的集合体、即气体团簇。
2.根据权利要求1所述的基板清洗装置,其特征在于,
在所述基板的表面侧具备清扫气体供给部,该清扫气体供给部将由于所述气体团簇的碰撞而从基板飞散的飞散物从基板的中心来看向外侧吹去。
3.根据权利要求1或2所述的基板清洗装置,其特征在于,
所述清洗气体与升压用气体混合后排出。
4.根据权利要求1至3中任一项所述的基板清洗装置,其特征在于,
所述喷嘴部被构成为,能够改变气体团簇对基板的照射角。
5.根据权利要求1至4中任一项所述的基板清洗装置,其特征在于,
所述喷嘴部被构成为,能够向连接基板的中央部与外线的方向移动。
6.根据权利要求1至5中任一项所述的基板清洗装置,其特征在于,
所述喷嘴部被构成为,能够实现下述中的至少一方,即:通过绕与基板平行的轴转动而能够改变气体团簇的照射角;和能够向连接基板的中央部与外线的方向移动,
所述喷嘴部具备控制部,该控制部进行控制,以使得在从基于气体团簇对基板的周边部的处理开始时到处理结束时的期间内,实施气体团簇的照射角的改变、以及在连接基板的中央部与外线的方向上移动中的、至少一方。
7.根据权利要求1至6中任一项所述的基板清洗装置,其特征在于,
所述基板为圆形,
所述移动机构为,用于保持所述圆形的基板并使所述圆形的基板绕所述基板的中心部的轴旋转的旋转机构。
8.根据权利要求1至7中任一项所述的基板清洗装置,其特征在于,
所述基板是对硅晶圆上的多种层叠膜进行了蚀刻后的该晶圆,在基板的表面侧的周边部,形成有相当于不要部位的、多个针状的硅的突起群,并在背面侧的周边部,附着有相当于不要部位的多种薄膜。
9.根据权利要求1至8中任一项所述的基板清洗装置,其特征在于,
所述基板在表面以及背面中的至少一方的周边部,层叠有相当于不要部位的多种膜,
所述喷嘴部以能够切换并排出多种清洗气体的方式与多种气体供给系连接。
10.根据权利要求1至8中任一项所述的基板清洗装置,其特征在于,
所述基板在表面以及背面中的至少一方的周边部,层叠有相当于不要部位的多种膜,
设置有多个所述喷嘴部,这些多个喷嘴部被供给相互不同的清洗气体。
11.一种真空处理系统,其特征在于,具备:
真空搬运室,其在真空环境下搬运基板;
真空处理模块,其经由分隔阀与该真空搬运室连接,用于对形成于基板的表面薄膜进行干式蚀刻或者在基板上形成薄膜;和
权利要求1至10中任一项所述的基板清洗装置,其经由分隔阀与所述真空搬运室连接,用于清洗在所述真空处理模块中被进行了真空处理后的基板的周边部。
CN2012100867864A 2011-03-31 2012-03-28 基板清洗装置以及真空处理系统 Pending CN102728580A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-080098 2011-03-31
JP2011080098A JP5815967B2 (ja) 2011-03-31 2011-03-31 基板洗浄装置及び真空処理システム

Publications (1)

Publication Number Publication Date
CN102728580A true CN102728580A (zh) 2012-10-17

Family

ID=46925687

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2012100867864A Pending CN102728580A (zh) 2011-03-31 2012-03-28 基板清洗装置以及真空处理系统

Country Status (4)

Country Link
US (1) US9214364B2 (zh)
JP (1) JP5815967B2 (zh)
KR (1) KR101671555B1 (zh)
CN (1) CN102728580A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107529946A (zh) * 2016-01-18 2018-01-02 奥林巴斯株式会社 内窥镜
CN107615458A (zh) * 2015-06-03 2018-01-19 株式会社斯库林集团 基板处理装置、膜形成单元、基板处理方法及膜形成方法
CN110462794A (zh) * 2017-03-23 2019-11-15 东京毅力科创株式会社 气体团簇处理装置和气体团簇处理方法
TWI689357B (zh) * 2018-02-13 2020-04-01 特銓股份有限公司 基板清洗設備以及基板清洗方法
CN111048438A (zh) * 2018-10-11 2020-04-21 Tes股份有限公司 气体供给单元

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5997555B2 (ja) * 2012-09-14 2016-09-28 東京エレクトロン株式会社 エッチング装置およびエッチング方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP2015026745A (ja) * 2013-07-26 2015-02-05 東京エレクトロン株式会社 基板洗浄方法及び基板洗浄装置
JP6311236B2 (ja) * 2013-08-20 2018-04-18 東京エレクトロン株式会社 基板洗浄装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR20150055655A (ko) * 2013-11-13 2015-05-22 삼성디스플레이 주식회사 기판 세정 장치
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN103995434A (zh) * 2014-06-12 2014-08-20 上海华力微电子有限公司 一种掩膜版除尘装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9735019B2 (en) 2014-09-05 2017-08-15 Tel Epion Inc. Process gas enhancement for beam treatment of a substrate
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108350572A (zh) * 2015-09-22 2018-07-31 应用材料公司 大面积双基板处理系统
KR102541747B1 (ko) * 2015-11-30 2023-06-08 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 챔버 클리닝 방법
KR102629308B1 (ko) * 2015-12-07 2024-01-24 도쿄엘렉트론가부시키가이샤 기판 세정 장치
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN105964621B (zh) * 2016-05-30 2018-05-29 上海华力微电子有限公司 一种除尘系统及除尘方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10510573B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108160628A (zh) * 2017-12-26 2018-06-15 深圳市诺峰光电设备有限公司 一种新型针头清洁装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102099105B1 (ko) * 2018-07-18 2020-05-15 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210009276A (ko) * 2019-07-16 2021-01-26 도쿄엘렉트론가부시키가이샤 처리액 토출 노즐, 노즐 아암, 기판 처리 장치, 및 기판 처리 방법
KR20210026954A (ko) * 2019-09-02 2021-03-10 삼성전자주식회사 반도체 제조 장치
JP7170608B2 (ja) * 2019-09-09 2022-11-14 三菱電機株式会社 ウエハクリーニング装置および半導体装置の製造方法
KR102649715B1 (ko) 2020-10-30 2024-03-21 세메스 주식회사 표면 처리 장치 및 표면 처리 방법
US20220301893A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Wafer Transfer System and Method of Use

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
JPS5399762A (en) * 1977-02-12 1978-08-31 Futaba Denshi Kogyo Kk Device for producing compound semiconductor film
CN1019513B (zh) * 1986-10-29 1992-12-16 三菱电机株式会社 化合物薄膜形成装置
JPS63270458A (ja) * 1987-04-27 1988-11-08 Mitsubishi Electric Corp 化合物薄膜形成装置
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
DE4018954A1 (de) * 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
EP0502209B1 (en) * 1990-09-21 1997-05-14 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
JP3169151B2 (ja) * 1992-10-26 2001-05-21 三菱電機株式会社 薄膜形成装置
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
JP3530270B2 (ja) * 1995-04-27 2004-05-24 島田理化工業株式会社 精密洗浄装置
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US6164297A (en) * 1997-06-13 2000-12-26 Tokyo Electron Limited Cleaning and drying apparatus for objects to be processed
US7527698B2 (en) * 1998-09-23 2009-05-05 Interuniversitair Microelektronica Centrum (Imec, Vzw) Method and apparatus for removing a liquid from a surface of a substrate
EP0997926B1 (en) * 1998-10-26 2006-01-04 Matsushita Electric Works, Ltd. Plasma treatment apparatus and method
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100349948B1 (ko) * 1999-11-17 2002-08-22 주식회사 다산 씨.앤드.아이 클러스터를 이용한 건식 세정 장치 및 방법
US6328221B1 (en) * 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
JP3405312B2 (ja) * 2000-02-25 2003-05-12 日本電気株式会社 塗布膜除去装置
JP2001345294A (ja) 2000-05-31 2001-12-14 Toshiba Corp 半導体装置の製造方法
KR100445259B1 (ko) * 2001-11-27 2004-08-21 삼성전자주식회사 세정방법 및 이를 수행하기 위한 세정 장치
US7067097B1 (en) * 2002-02-12 2006-06-27 Wojak Gregory J Process for preparing a diamond substance
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
US20080011421A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Processing chamber having labyrinth seal
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP3993048B2 (ja) * 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US8192555B2 (en) * 2002-12-31 2012-06-05 Micron Technology, Inc. Non-chemical, non-optical edge bead removal process
US7578886B2 (en) * 2003-08-07 2009-08-25 Ebara Corporation Substrate processing apparatus, substrate processing method, and substrate holding apparatus
EP1833078B1 (en) * 2004-07-09 2013-03-20 Sekisui Chemical Co., Ltd. Apparatus and method for processing the outer periphery of a substrate
JP2007088398A (ja) * 2004-12-14 2007-04-05 Realize Advanced Technology Ltd 洗浄装置、この洗浄装置を用いた洗浄システム、及び被洗浄基板の洗浄方法
JP4526540B2 (ja) * 2004-12-28 2010-08-18 株式会社日立国際電気 基板処理装置および基板処理方法
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7691278B2 (en) * 2005-09-27 2010-04-06 Lam Research Corporation Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
JP4761381B2 (ja) * 2006-08-01 2011-08-31 東京エレクトロン株式会社 薄膜除去装置及び薄膜除去方法
JP5016351B2 (ja) * 2007-03-29 2012-09-05 東京エレクトロン株式会社 基板処理システム及び基板洗浄装置
JP5098019B2 (ja) * 2007-04-27 2012-12-12 ギガフォトン株式会社 極端紫外光源装置
US20080289651A1 (en) * 2007-05-25 2008-11-27 International Business Machines Corporation Method and apparatus for wafer edge cleaning
WO2009036218A1 (en) * 2007-09-13 2009-03-19 Ehd Technology Group, Inc. Apparatus and method for cleaning wafer edge using energetic particle beams
JP5122265B2 (ja) * 2007-10-01 2013-01-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
KR101223945B1 (ko) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
JP5401089B2 (ja) * 2008-12-15 2014-01-29 東京エレクトロン株式会社 異物除去方法及び記憶媒体
US8304033B2 (en) 2009-02-04 2012-11-06 Tel Epion Inc. Method of irradiating substrate with gas cluster ion beam formed from multiple gas nozzles
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
US8658937B2 (en) * 2010-01-08 2014-02-25 Uvtech Systems, Inc. Method and apparatus for processing substrate edges
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
KR101344921B1 (ko) * 2012-03-28 2013-12-27 세메스 주식회사 기판처리장치 및 방법
JP6317547B2 (ja) * 2012-08-28 2018-04-25 株式会社Screenホールディングス 基板処理方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107615458A (zh) * 2015-06-03 2018-01-19 株式会社斯库林集团 基板处理装置、膜形成单元、基板处理方法及膜形成方法
CN107615458B (zh) * 2015-06-03 2021-06-01 株式会社斯库林集团 基板处理装置、膜形成单元、基板处理方法及膜形成方法
CN107529946A (zh) * 2016-01-18 2018-01-02 奥林巴斯株式会社 内窥镜
US11042020B2 (en) 2016-01-18 2021-06-22 Olympus Corporation Endoscope having observation window with circumferential side surface and cleaning nozzles directed to circumferential side surface
CN110462794A (zh) * 2017-03-23 2019-11-15 东京毅力科创株式会社 气体团簇处理装置和气体团簇处理方法
CN110462794B (zh) * 2017-03-23 2023-09-15 东京毅力科创株式会社 气体团簇处理装置和气体团簇处理方法
TWI689357B (zh) * 2018-02-13 2020-04-01 特銓股份有限公司 基板清洗設備以及基板清洗方法
CN111048438A (zh) * 2018-10-11 2020-04-21 Tes股份有限公司 气体供给单元
CN111048438B (zh) * 2018-10-11 2023-06-13 Tes股份有限公司 气体供给单元

Also Published As

Publication number Publication date
KR101671555B1 (ko) 2016-11-01
KR20120112242A (ko) 2012-10-11
US20120247670A1 (en) 2012-10-04
JP5815967B2 (ja) 2015-11-17
US9214364B2 (en) 2015-12-15
JP2012216636A (ja) 2012-11-08

Similar Documents

Publication Publication Date Title
CN102728580A (zh) 基板清洗装置以及真空处理系统
US9099298B2 (en) Substrate cleaning apparatus and substrate cleaning method
US9960056B2 (en) Substrate cleaning method, substrate cleaning apparatus and vacuum processing system
US20230405762A1 (en) Substrate processing apparatus
TWI587391B (zh) 用於溝塡之保形膜沉積
US20140227882A1 (en) Cleaning method, processing apparatus, and storage medium
CN102754192B (zh) 基板清洗方法和基板清洗装置
JP4994501B2 (ja) 半導体ウエハの洗浄方法及び装置
US20130014785A1 (en) Substrate processing method and substrate processing apparatus
US20080236629A1 (en) Cleaning method for turbo molecular pump
JP7357625B2 (ja) 制御可能なビームサイズの処理噴霧を有する小型電子機器処理システム
CN101204705A (zh) 清洗硅片刻蚀腔室的方法
US20130186431A1 (en) Methods for Treating Surfaces, Methods for Removing One or More Materials from Surfaces, and Apparatuses for Treating Surfaces
US20080230096A1 (en) Substrate cleaning device and substrate processing apparatus
CN104091776A (zh) 一种消除连接孔刻蚀副产物凝结缺陷的晶圆净化设备
CN108292598A (zh) 基板处理装置的腔室清洁方法
JP2008540994A (ja) 表面の乾燥方法
JP7239688B2 (ja) 減圧システムを洗浄するための方法、基板の減圧処理のための方法、及び基板を減圧処理するための装置
JP2002012971A (ja) イオン注入装置および成膜並びに洗浄方法
KR20200066325A (ko) 극저온 유체 혼합물들로 기판들을 처리하기 위한 시스템들 및 방법들
KR20220143122A (ko) 코어 제거

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121017