KR20120112242A - 기판 세정 장치 및 진공 처리 시스템 - Google Patents

기판 세정 장치 및 진공 처리 시스템 Download PDF

Info

Publication number
KR20120112242A
KR20120112242A KR1020120033295A KR20120033295A KR20120112242A KR 20120112242 A KR20120112242 A KR 20120112242A KR 1020120033295 A KR1020120033295 A KR 1020120033295A KR 20120033295 A KR20120033295 A KR 20120033295A KR 20120112242 A KR20120112242 A KR 20120112242A
Authority
KR
South Korea
Prior art keywords
substrate
gas
vacuum
cluster
wafer
Prior art date
Application number
KR1020120033295A
Other languages
English (en)
Other versions
KR101671555B1 (ko
Inventor
가즈야 도바시
겐스케 이나이
아키타카 시미즈
겐타 야스다
유 요시노
도시히로 아이다
다케히코 세노오
Original Assignee
이와타니 산교 가부시키가이샤
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이와타니 산교 가부시키가이샤, 도쿄엘렉트론가부시키가이샤 filed Critical 이와타니 산교 가부시키가이샤
Publication of KR20120112242A publication Critical patent/KR20120112242A/ko
Application granted granted Critical
Publication of KR101671555B1 publication Critical patent/KR101671555B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

기판 세정 장치로서, 배기구를 갖는 처리실 내에 마련되고, 기판을 유지하기 위한 유지부와, 상기 유지부에 유지된 기판의 주연부의 불필요 부위를 제거하기 위해, 가스 클러스터를 해당 주연부에 조사하기 위한 노즐부와, 상기 가스 클러스터의 조사 시에 상기 유지부와 상기 노즐부를 상대적으로 이동시키기 위한 이동 기구를 구비하고, 상기 노즐부는 상기 처리실 내의 압력보다도 높은 압력의 세정 가스를 토출하는 것에 의해 단열 팽창시켜 세정 가스의 원자 및/또는 분자의 집합체인 가스 클러스터를 형성한다.

Description

기판 세정 장치 및 진공 처리 시스템{SUBSTRATE CLEANING APPARATUS AND VACUUM PROCESSING SYSTEM}
본 발명은 기판의 주연부를 세정하는 기술에 관한 것이다.
반도체 디바이스의 제조 프로세스에 있어서, 반도체 웨이퍼(이하, 웨이퍼라 함)의 위에 다층막을 적층해서 이 위에 레지스트 마스크를 형성하고, 해당 레지스트 마스크를 이용하여, 단일의 에칭 장치에 있어서, 각 막에 따른 에칭 가스에 의해 상기 다층막에 홀이나 트렌치를 형성하는 공정이 검토되고 있다. 이 공정에 있어서는 웨이퍼에 다층막이 성막된 후, 주연부의 막이 습식 세정 장치에 의해 제거되고, 그 후, 다층막 상에 레지스트 패턴이 형성된다. 이 때문에, 해당 웨이퍼가 에칭될 때에는 웨이퍼의 주연에서 기재인 실리콘이 노출되고 있다. 이 때문에, 웨이퍼의 주연부의 실리콘 부분이, 에칭 공정에 노출되어 표면이 깎이고, 침형상의 예각인 돌기군이 밀집된 표면 상태로 변형된다. 또한, 웨이퍼의 이면측의 주연부에는 플라즈마가 조사되지 않으므로, 웨이퍼의 표면에서 플라즈마와 막이 반응해서 생성된, 예를 들면, 폴리머 등의 반응 생성물이 부착, 퇴적하고, 바람직하지 않은 파티클의 발생 요인으로 된다.
웨이퍼의 표면측의 주연부에 형성된 실리콘의 예각형상의 요철 부분(침형상 돌기군)은 플라즈마 클리닝으로 제거하려고 해도, 클리닝 가스의 플라즈마는 이방성이 있으므로 제거가 곤란하고, 또 브러시 세정을 이용하는 경우에는 돌기군을(T) 긁어낼 수 없다. 또한, CMP(Chemical Mechanical Polishing)를 이용하는 방법에서는 돌기군을 깎아낼 수 있지만, 웨이퍼의 표면이 오염될 우려가 있다. 또한, 웨이퍼의 이면측의 주연부에 부착된 부착물에 대해서는 복수의 재료에 의해 구성되어 있으므로, 드라이 클리닝(플라즈마 클리닝)을 실행하려고 하면 클리닝 가스를 막의 재료에 따라 바꾸어 갈 필요가 있지만, 웨이퍼의 주연부에 한정해서 처리하는 것이 곤란하고, 웨이퍼의 디바이스 영역을 손상시켜 버린다고 하는 문제가 있다. 또한, 웨트 세정에 있어서도 마찬가지의 문제가 있으며, 또한 제거한 부착물이 재부착되는 문제가 있다.
한편, 특허문헌 1에는 가스 클러스터를 이온화시키지 않고 반도체 기판에 조사하는 것에 의해, 반도체 기판이나 그 표면에 형성된 박막층의 에칭이나 평탄화를 실행하는 것이 기재되어 있지만, 웨이퍼의 주연부를 세정하는 것에 대해서는 기재되어 있지 않다.
국제공개 제2010/021265호
본 발명은 이러한 배경하에 이루어진 것으로서, 그 목적은 기판의 주연부의 불필요 부위를, 기판의 유효 영역에의 악영향을 억제한 상태에서 양호하게 세정할 수 있는 기술을 제공하는 것에 있다.
본 발명의 일 형태에 따르면, 배기구를 갖는 처리실 내에 마련되고, 기판을 유지하기 위한 유지부와, 상기 유지부에 유지된 기판의 주연부의 불필요 부위를 제거하기 위해, 가스 클러스터를 해당 주연부에 조사하기 위한 노즐부와, 상기 가스 클러스터의 조사 시에 상기 유지부와 상기 노즐부를 상대적으로 이동시키기 위한 이동 기구를 구비하고, 상기 노즐부는 상기 처리실 내의 압력보다도 높은 압력의 세정 가스를 토출하는 것에 의해 단열 팽창시켜 세정 가스의 원자 및/또는 분자의 집합체인 가스 클러스터를 형성하는 기판 세정 장치가 제공된다.
본 발명의 다른 형태에 따르면, 진공 분위기하에서 기판을 반송하는 진공 반송실과, 상기 진공 반송실에 칸막이 밸브를 거쳐서 접속되고, 기판의 표면에 형성된 박막을 드라이 에칭하거나 또는 기판에 박막을 성막하기 위한 진공 처리 모듈과, 상기 진공 반송실에 칸막이 밸브를 거쳐서 접속되고, 상기 진공 처리 모듈에서 진공 처리된 기판의 주연부를 세정하기 위한 상기 본 발명의 일 형태에 따른 기판 세정 장치를 구비한 진공 처리 시스템이 제공된다.
본 발명은 기판의 주연부에 있어서의 불필요 부위를 제거함에 있어서, 기판을 노즐부에 대해 상대적으로 이동 또는 회전시키면서, 노즐부내보다도 압력이 낮은 처리 분위기 중에 노즐부로부터 세정 가스를 토출하는 것에 의해 형성되는 세정 가스의 클러스터(원자 및/또는 분자의 집합체)를 상기 불필요 부위에 공급하도록 하고 있다. 가스 클러스터는 국소적으로 조사할 수 있기 때문에, 제거 대상으로 하고 있는 불필요 부위의 세정을 실행해도, 기판의 디바이스 영역 등의 유효 영역에는 영향을 주지 않고, 기판의 오염을 억제한 상태에서 기판의 주연부를 양호하게 세정할 수 있다.
도 1은 본 발명의 실시형태에 따른 진공 처리 시스템의 전체를 나타내는 평면도이다.
도 2는 상기 실시형태에 이용되는 기판 세정 장치의 개요를 나타내는 종단 측면도이다.
도 3은 상기 기판 세정 장치의 개요를 나타내는 평면도이다.
도 4는 상기 기판 세정 장치에 마련되는 클러스터 노즐을 나타내는 종단 측면도이다.
도 5는 상기 클러스터 노즐의 개요를 나타내는 종단 측면도이다.
도 6은 상기 클러스터 노즐의 가스 공급계의 개요를 설명하는 배관도이다.
도 7a 및 도 7b 은 상기 실시형태에 있어서의 웨이퍼상에 형성된 다층막의 에칭의 개요를 나타내는 종단 측면도이다.
도 8a, 도 8b, 도 8c, 및 도 8d은 본 실시형태에 있어서의 제 1 클러스터 노즐에 의한 웨이퍼의 주연부에 있어서의 침형상 돌기군의 평탄화를 설명하는 작용도이다.
도 9a, 도 9b, 도 9c, 및 도 9d는 본 실시형태에 있어서의 제 2 클러스터 노즐에 의한 부착물의 제거를 설명하는 작용도이다.
도 10은 본 실시형태의 변형예에 있어서의 상기 기판 세정 장치의 개요를 나타내는 평면도이다.
도 11a 및 도 11b은 본 발명의 실시예에 있어서의 침형상 돌기군이 표면에 형성된 웨이퍼에 대한 가스 클러스터 조사 전 및 조사 후의 웨이퍼의 표면의 SEM 사진이다.
도 1은 본 발명의 실시형태인 기판 세정 장치(4)를 갖는 진공 처리 시스템을 나타내는 도면이다. 이 진공 처리 시스템은 평면형상이 직사각형인 대기 반송실(1)을 구비하고 있다. 대기 반송실(1)에 있어서의 한쪽의 긴 변측에는 반도체(실리콘) 웨이퍼(W)를 반입 반출하기 위한 반입출 포트가 마련되어 있다. 반입출 포트는 복수의 웨이퍼(W)를 수납한, 반송 용기인 FOUP(Front Opening Unified Pod)가 탑재되는 복수의 반입출 스테이지(13)와, 각 반입출 스테이지(13)에 마련된 도어(14)를 구비하고 있다.
또한, 대기 반송실(1)에 있어서의 반입출 스테이지(13)와는 반대측에는 좌우에 배치된 2개의 로드록실(15)(예비 진공실)을 거쳐서, 예를 들면, 평면형상 6각형의 진공 반송실(2)이 접속되어 있다. 대기 반송실(1)에 있어서의 짧은 변측에는 웨이퍼(W)의 위치맞춤을 실행하기 위한 오리엔터를 구비한 얼라인먼트 모듈(16)이 접속되어 있다. 대기 반송실(1)내에는 웨이퍼(W)를 반입출 스테이지(13), 로드록실(15) 및 얼라인먼트 모듈(16)의 사이에서 수수하기 위한 반송 기구(12)가 구비되어 있다.
진공 반송실(2)은 도시하지 않은 진공 펌프에 의해 실내가 진공 분위기로 유지되어 있고, 에칭 장치(3)의 처리 분위기를 구성하는 제 1 진공실(31) 및 기판 세정 장치(4)의 처리 분위기를 구성하는 제 2 진공실(41)이 접속되어 있다. 또한, 이 진공 반송실(2)에는 로드록실(15), 에칭 장치(3) 및 기판 세정 장치(4)의 사이에서 웨이퍼(W)를 수수하기 위한 반송 기구(22)를 구비하고 있다. 또한, 도 1중의(G1 내지G3) (G)은 칸막이 밸브를 이루는 게이트 밸브이다.
또한, 이 진공 처리 시스템은 제어부(9)를 구비하고, 이 제어부(9)의 기억부에 기억된 프로그램 및 처리 레시피를 포함하는 소프트웨어에 의해, 웨이퍼(W)의 반송, 각 게이트밸브(G1 내지G3) 및 도어(14)의 개폐, 그리고 각 진공실(31, 41)에 있어서의 처리 및 진공도의 조정을 실행하고 있다.
에칭 장치(3)로서는 용량 결합형 플라즈마 방식이나 유도전류 코일 플라즈마 방식 등의 주지의 장치를 이용할 수 있고, 용량 결합형 플라즈마 방식의 경우, 진공실(31)내에 상부 전극, 하부 전극을 대향시키고, 양 전극간에 고주파를 인가해서 처리 가스를 플라즈마화하고, 그 플라즈마 중의 이온을, 하부 전극에 인가한 바이어스에 의해 하부 전극상의 웨이퍼(W)에 인입하여 웨이퍼(W)의 표면을 에칭하도록 구성된다.
기판 세정 장치(4)의 제 2 진공실(41)은 도 2에 나타내는 바와 같이, 웨이퍼(W)를 수평자세에서 흡착 유지하기 위한 정전 척(42)을 구비하고 있다. 이 정전 척(42)은 회전축(43)을 거쳐서 제 2 진공실(41)의 바닥부에 고정된 이동 기구인 회전 기구(44)에 지지되어 있고, 흡착 유지한 웨이퍼(W)를 연직축을 중심으로 회전시킬 수 있다.
제 2 진공실(41)의 바닥부의 측벽 부근에는 도 3에 나타내는 바와 같이, 수평(도 3 중 X방향)으로 신장하는 가이드(51)가 마련되고, 이 가이드(51)에 가이드되면서 도시하지 않은 볼나사 기구에 의해 구동되어 이동하는 이동체(52)가 마련되어 있다. 이 이동체(52)의 상부에는 도 4에 나타내는 바와 같이, 연직 위쪽(도면 중 Z방향)으로 신장하고, 또한 그 앞에서 직각으로 구부러지고 가이드의 연신방향과 직교하도록 수평(도면 중 Y방향)으로 신장하는 지지 부재(53)가 마련되어 있다. 이 지지 부재(53)의 선단부에는 각도 조정 기구(54)를 거쳐서, 제 1 클러스터 노즐(5)이 정전 척(42)에 흡착 유지되는 웨이퍼(W)의 위쪽에 위치하도록 마련되어 있다. 이 각도 조정 기구(54)는 Y방향으로 신장하는 회전축(54a)을 갖는 모터를 포함하는 구동 기구에 의해 구성되고, 제 1 클러스터 노즐(5)은 각도 조정 기구(54)에 의해 회전축(54a)을 중심으로 선회할 수 있기 때문에, 가스 클러스터의 조사 각도의 조정이 가능하다.
이 제 1 클러스터 노즐(5)은 도 5에 나타내는 바와 같이, 원통형상의 압력실(50)을 구비하고 있고, 이 제 1 클러스터 노즐(5)의 기단측에, 각각 배관으로 이루어지는 제 1 가스 유로(55a) 및 제 2 가스 유로(55b)가 접속되어 있다. 제 1 가스 유로(55a)의 기단측에는 3불화 염소(ClF3) 가스 공급원(56)이 접속되고, 예를 들면, 매스플로 미터로 이루어지는 유량 조정부(59a) 및 밸브가 개재되어 있다. 또한, 제 2 가스 유로(55b)의 기단측에는 아르곤(Ar) 가스 공급원(57)이 접속되고, 예를 들면, 매스플로 미터로 이루어지는 유량 조정부(59b) 및 밸브가 개재되어 있다. 또한, 도시하고 있지 않지만, 압력실(50)내의 압력을 검출하는 압력계가 마련되고, 유량 조절부(59a, 59b) 및 압력계에 의해서, 압력실(50)내의 압력과, ClF3 가스 및 Ar 가스의 유량비를 조정할 수 있도록 되어 있다.
ClF3 가스는 제 1 클러스터 노즐(5)로부터 조사되는 가스 클러스터(C)의 주성분을 이루는 것이며, 웨이퍼(W)의 주연부에 있어서의 실리콘의 침형상 돌기군(T)를 물리적 및 화학적으로 깎아 평탄화할 수 있다. 또한, 불 활성 가스인 Ar 가스는 제 1 클러스터 노즐(5)의 공급 영역측(압력실(50)내)의 압력을 높임으로써 가스 클러스터(C)의 토출 속도를 증가시키고, 그 지향성이나 국소 가공성을 더욱 좋게 하기 위한 승압용 가스로서 이용하고 있다. 또한, 제 1 클러스터 노즐(5)의 공급 영역측의 압력이 높아지는 것에 의해, 제 2 진공실(41)내의 압력도 상기 공급 영역에 대응해서 높게 할 수 있고, 장치에 요구되는 진공 성능이 완화되는 등 유리하다. 또한, 도 2에서는 제 1 가스 유로(55a) 및 제 2 가스 유로(55b)를 합쳐 배관(55)으로 기재하고, ClF3 가스 공급원(56), Ar 가스 공급원(57), 그리고 그들에 접속되어 있는 유량 조정부(59a, 59b), 밸브 및 압력계를 합쳐 세정 가스 공급계(8)로 기재하고 있다. 이 세정 가스 공급계(8)에는 후술하는 제 2 클러스터 노즐(6)에서 사용하는 세정 가스의 공급계도 포함된다.
또한, 제 1 클러스터 노즐(5)의 선단측은 도 5에 나타내는 바와 같이, 나팔형상으로 넓게 되어 있다. 그리고, 이 확개부의 근원 부위에 상당하는 제 1 클러스터 노즐(5)의 토출구는 오리피스형상으로 되어 있으며, 웨이퍼(W)의 표면으로부터의 높이 및 가스 클러스터(C)를 조사하는 웨이퍼(W)의 주연까지의 수평거리는 각각, 예를 들면, 10㎜ 및 10㎜로 설정되어 있다. 후술하는 바와 같이, 이 제 1 클러스터 노즐(5)로부터 토출된 가스는 급격한 감압에 노출됨으로써 단열 팽창하고, 처리 가스의 원자 및/또는 분자(G)가 반데르발스힘에 의해 결합해서 집합체(가스 클러스터)(C)로 되고, 웨이퍼(W)의 주연부에 형성된 침형상 돌기군(T)에 조사된다.
한편, 제 2 진공실(41)내에 있어서의 웨이퍼(W)의 아래쪽 위치에는 웨이퍼(W)의 주연부를 향해 가스 클러스터를 조사할 수 있도록, 제 2 클러스터 노즐(6)이 마련되어 있다. 이 제 2 클러스터 노즐(6)용의 가이드(61)는 도 3에 나타내는 바와 같이, 정전 척(42)을 사이에 두고 가이드(51)와 대향하도록, 그리고 평행하게 마련되어 있다. 그리고, 이 가이드(61)에 가이드되면서 도시하지 않은 볼 나사 기구에 의해 구동되어 이동하는 이동체(62)가 마련되어 있다. 이 이동체(62)의 상부에는 연직 위쪽(도 2 중 Z방향)으로 신장하고, 또한 그 앞에서 직각으로 구부러지고 가이드의 연신방향과 직교하도록 수평(도 3 중 Y방향)으로 신장하는 지지 부재(63)가 마련되어 있다. 이 지지 부재(63)의 선단부에는 각도 조정 기구(64)를 거쳐, 제 2 클러스터 노즐(6)이 정전 척(42)에 흡착 유지되는 웨이퍼(W)의 아래쪽에 위치하도록 마련되어 있다. 이 각도 조정 기구(64)는 Y방향으로 신장하는 회전축(64a)을 갖는 모터를 포함하는 구동 기구이며, 제 2 클러스터 노즐(6)은 각도 조정 기구(64)에 의해 회전축(54a)을 중심으로 선회할 수 있기 때문에, 가스 클러스터(C)의 조사 각도의 조정이 가능하다.
제 2 클러스터 노즐(6)의 구조는 제 1 클러스터 노즐(5)과 마찬가지이다. 단지, 제 2 클러스터 노즐(6)에서는 세정 가스로서 오존(O3) 가스, 불화수소(HF) 가스, 그리고 ClF3 가스의 3종류의 세정 가스를 사용한다. 그 때문에, 제 2 클러스터 노즐(6)에 접속되어 있는 배관의 기단측은 도 6에 나타내는 바와 같이, 각 세정 가스의 공급계(82, 83, 84)에 각각 분기해서 접속되어 있고, 이들 각 세정 가스의 가스 공급계(82, 83, 84) 중 사용하는 세정 가스 공급계는 밸브에 의해 전환해서 구분하여 사용되고, Ar 가스와 혼합해서 조사된다. 도면 중 ‘81’은 Ar 가스 공급계이다.
또한, 제 2 진공실(41)내, 도 2 및 도 3에 나타내는 바와 같이, 웨이퍼(W)의 위쪽측에는 퍼지 가스 노즐(7)이 마련되어 있고, 이 노즐(7)은, 예를 들면, Ar 가스 등의 퍼지 가스의 기류를 가스 클러스터(C)의 조사 장소에 있어서 웨이퍼(W)의 중심부에서 외주부를 향해 형성하도록 구성되어 있다. 이 퍼지 가스 노즐(7)은 지지 부재(73) 및 이동체(72)를 거쳐서 가이드(71)를 따라 도 3 중 X방향으로 수평 이동 가능하게 되어 있고, 정전 척(42)에 흡착 유지된 웨이퍼(W)의 표면에 대해 일정한 각도로 퍼지 가스를 내뿜도록 구성되어 있다. 또한, 퍼지 가스 노즐(7)에도 각도 조정 기구를 마련해도 좋다. 이 퍼지 가스 노즐(7)은 배관을 거쳐, 제 2 진공실(41)의 밖에 마련된 퍼지 가스 공급계(80)에 접속되어 있다. 이 퍼지 가스 공급계(80)는 퍼지 가스 공급원, 유량 조정부 및 밸브로 이루어진다.
제 2 진공실(41)의 바닥부의 배기 포트(45)에는 배기관(49)이 접속되고, 이 배기관(49)에는 압력 조정부(46)를 거쳐서 진공 펌프(47)가 마련되고, 제 2 진공실(41)내의 압력 조정이 가능하게 되어 있다.
계속해서, 상술한 실시형태의 작용에 대해 설명한다. 우선, 웨이퍼(W)가 수납된, 예를 들면, FOUP로 이루어지는 반송 용기가 반입출 스테이지(13)에 탑재되고, 반송 용기의 덮개와 함께 도어(14)가 열린다. 이어서, 반송 용기내의 웨이퍼(W)가 대기 반송실(1)내의 반송 기구(12)에 의해 얼라인먼트 모듈(16)에 반송되고, 여기서 웨이퍼(W)의 방향이 미리 설정된 방향으로 조정된다. 그 후, 웨이퍼(W)는 반송 기구(12), 로드록실(15), 진공 반송실(2)내의 반송 기구(22)를 거쳐 에칭 장치(3)의 진공실(31)내에 반입된다.
웨이퍼(W)의 표면에는 도 7a에 나타내는 바와 같이, 다층막이 적층되고, 또한 그 위에 레지스트 마스크(100)가 형성되고, 웨이퍼(W)의 주연부는 레지스트 및 다층막이 제거되어 있어 웨이퍼(W)의 기재인 실리콘이 노출되어 있다. 다층막은 하측부터, 예를 들면, 실리콘 산화막층(101), 폴리 실리콘층(102), 예를 들면, 티탄이나 텅스텐 등의 금속층(103), 그리고 유기막(104)이 이 순서로 적층되어 구성되어 있다. 또한, 이 다층막의 예는 발명의 이해를 쉽게 하기 위한 모델로서 든 일예에 불과하다. 에칭 장치(3)에서는 상기 다층막을, 막의 종류에 따라 처리 가스나 압력 등의 처리 조건을 전환하면서 플라즈마에 의해 순차 에칭하여, 레지스트 마스크(100)의 패턴에 따른 오목부가 형성된다. 도 7b는 에칭 종료 후의 웨이퍼(W)를 나타내는 모식도이다. 동일 도면에 나타내는 바와 같이, 웨이퍼(W)의 주연부에 있어서의 표면측의 베벨부에는 불필요 부위를 이루는 침형상의 돌기군(T)가 형성되고, 이면측의 베벨부에는 에칭시에 생성된 반응 생성물 등으로 이루어지는 불필요 부위인 부착물(P)가 부착되어 있다. 이 부착물(P)는 다층막의 각 막에 따른 반응 생성물의 적층체이며, 이 예에서는 웨이퍼(W)의 판면측부터 유기계, 금속계, 실리콘계, 그리고 불화 탄소계(CF계)의 순으로 각 반응 생성물 등이 적층되어 있다.
다음에, 이 웨이퍼(W)는 기판 세정 장치(4)의 제 2 진공실(41)내에 반입되고, 정전 척(42)에 흡착 유지되며, 회전 기구에 의해 회전한다. 그리고, 제 2 진공실(41)내를 압력 조정부(46)에 의해, 예를 들면, 1Pa?100Pa의 진공 분위기로 유지하고, 가스 유로(55a, 55b)로부터 각각 ClF3 가스 및 Ar 가스를, 예를 들면, 유량 조정부(59a, 59b)에 의해 0.3MPa?2.0MPa의 압력으로, 제 1 클러스터 노즐(5)에 공급한다. 이 때의 ClF3 가스의 농도는 유량 조정부(59a, 59b)에 의해, 예를 들면, 수 부피%로 설정된다. 상술한 바와 같은 고압 상태에서 제 1 클러스터 노즐(5)내에 공급된 ClF3 가스 및 Ar 가스는 해당 제 1 클러스터 노즐(5)내로부터 제 2 진공실(41)의 진공분위기내에 한번에 방출되기 때문에 단열 팽창해서 가스의 온도가 응축 온도 이하가 되고, 이 예에서는 Ar 원자 및 ClF3 분자가 반데르발스힘에 의해 결합해서, 원자 및/또는 분자(G)의 집합체인 가스 클러스터(C)를 형성한다.
이 가스 클러스터(C)는 제 1 클러스터 노즐(5)로부터 해당 제 1 클러스터 노즐(5)의 축방향으로 직진해서 방출되고(도 8a), 웨이퍼(W)의 주연부에 형성된 침형상 돌기군(T)를 향해 베벨부의 면에 대해 비스듬한 방향으로 충돌한다. 그러면, 가스 클러스터(C)는 개별의 가스 원자 및 분자(G)로 분해하고, 이 해리된 가스 원자 및 분자(G)는 가스 클러스터의 조사 각도보다도 표면에 대해 평행에 가까운 각도로 튕겨진다(도 8b 및 c). 그리고, 이 가스 원자 및 분자(G)가 표면에 형성되어 있는 침형상 돌기군(T)의 돌기와 충돌해서 실리콘과 반응하고, 이렇게 해서 물리적 충격과 화학 반응의 양 작용에 의해, 침형상 돌기군(T)의 돌기의 선단이 깎이고, 웨이퍼(W)의 주연부의 베벨부의 표면측이 평활화된다(도 8d). 이 때, 웨이퍼(W)의 표면부로부터는 실리콘 미립자가 비산하지만, 퍼지 가스 노즐(7)로부터 내뿜어져 있는 퍼지 가스에 의해 웨이퍼(W)의 외주방향으로 내뿜어지고, 웨이퍼(W)에 충돌해서 분해된 가스의 원자나 분자와 함께 배기관(49)을 통해 배기된다. 도 8a 내지 8d는 가스 클러스터(C)에 의해 웨이퍼(W)의 주연부에 형성된 침형상 돌기군(T)가 평탄화되는 상태를 나타내는 이미지도이다. 이와 같이, 가스 클러스터(C)를 조사하는 것에 의해 침형상 돌기군(T)가 평탄화되는 것은 후술하는 실험에 있어서 확인되고 있다.
한편, 제 2 클러스터 노즐(6)에 의한 부착물(P)의 제거도, 상술한 침형상 돌기군(T)의 평탄화와 함께 실행된다. 이 제 2 클러스터 노즐(6)에 있어서의, 제 2 진공실(41)의 기압, 제 2 클러스터 노즐(6)내의 압력 및 세정 가스의 부피농도 등의 가스 클러스터의 조사 조건은 이제부터 설명하는 어느 가스종에 있어서도 전술한 제 1 클러스터 노즐(5)의 조건과 동일하다. 우선, 도 9a에 나타내는 바와 같이, 불화 탄소계 부착물(CF계 부착물)(P)4의 제거를 실행한다. 예를 들면 O3 가스가, 유량 조정부에 의해 소정의 유량으로 조정되고 제 2 클러스터 노즐(6)에 도입된다. 한편, 불활성 가스, 예를 들면, Ar 가스도 유량 조정부에 의해 유량 조정된 후에 제 2 클러스터 노즐(6)에 도입된다. O3 가스는 제 2 클러스터 노즐(6)내에서 Ar 가스와 혼합되고, 희석된다. 그리고, 제 2 클러스터 노즐(6)로부터 O3 가스의 클러스터(C)를, 웨이퍼(W)의 이면의 주연부에 부착된 부착물(P)에 조사하고, 충돌시킨다. 이 때, O3 가스는 부착물(P) 중의 CF계 부착물(P4)와 화학 반응과 물리적 충격에 의한 작용이 함께, CF계 부착물(P4)를 웨이퍼(W)로부터 유리시킨다. 이 유리된 반응 생성물은 퍼지 가스 및 진공 펌프(47)의 흡입에 의해 배기 포트(45)를 거쳐서 제 2 진공실(41)의 밖으로 배출된다. 이렇게 하여, CF계 부착물(P4)는 웨이퍼(W)의 주연부로부터 제거된다.
다음에, 도 9b에 나타내는 바와 같이, 실리콘계 부착물(P3)의 제거를 실행한다. 우선, 세정 가스 공급계를 전환해서, 실리콘계 부착물에 대응하는 세정 가스인, 예를 들면, 불화수소(HF) 가스를, 유량 조정부에 의해 소정의 유량으로 조정해서 제 2 클러스터 노즐(6)에 도입한다. 한편, 불활성 가스, 예를 들면, Ar 가스도 유량 조정부에 의해 유량 조정된 후에 제 2 클러스터 노즐(6)에 도입한다. 이하, 전술한 CF계 부착물(P4)의 제거의 경우와 마찬가지로, HF 가스의 클러스터(C)를 부착물(P)에 조사하는 것에 의해, 물리적 및 화학적인 작용에 의해 실리콘계 부착물(P3)이 제거된다.
이번에, 도 9c에 나타내는 바와 같이, 전술한CF계 부착물(P4) 및 실리콘계 부착물(P3)의 제거와 동일하도록, 금속계 부착물에 대응하는 세정 가스인, 예를 들면, ClF3 가스의 가스 클러스터(C)를 부착물(P)에 조사하는 것에 의해, 금속계 부착물(P2)가 제거된다.
마지막으로, 도 9d에 나타내는 바와 같이, 유기계 부착물에 대응하는 세정 가스인, 예를 들면, O3 가스의 클러스터(C)를 부착물(P)에 조사하여, 유기계 부착물(P1)을 제거한다. 이렇게 하여, 웨이퍼(W)의 이면측의 주연부에 부착된 부착물(P)의 제거가 완료된다.
본 실시형태에서는 CF계 부착물(P4) 및 유기계 부착물(P1)에 관한 세정 가스로서 O3 가스를 사용했지만, 그 밖에, 예를 들면, 알코올류의 가스, 불화탄소계 가스(CF계 가스), 6불화유황(SF6) 가스 등의 유기계 부착물과 화학반응을 일으켜 제거할 수 있는 것이면 좋다. 또한 마찬가지로, 실리콘계 부착물(P3)에 대한 세정 가스로서는 HF 가스 이외에, 예를 들면, F2 가스 등이라도 좋고, 금속계 부착물(P2)에 대한 세정 가스로서는 ClF3 가스 이외에, 예를 들면, HF 가스, F2 가스, 염화수소(HCl) 가스, 염소(Cl2) 가스 등이라도 좋다.
가스 클러스터(C)에 의해 웨이퍼(W)의 베벨부를 세정하는 공정 중에 있어서, 웨이퍼(W)의 온도는, 예를 들면, 상온에서 실행할 수 있고, 특히 온도에 대해서는 한정되는 것은 아니지만, 프로세스 제어성의 이유로부터, 예를 들면, 0℃에서 100℃인 것이 바람직하다.
이렇게 해서 웨이퍼(W)의 주연부의 세정 종료 후, 게이트밸브(G3)이 열리고, 해당 진공 반송실(2)의 반송 기구(22)에 의해 제 2 진공실(41)로부터 반출된다.
상술한 실시형태에 의하면, 웨이퍼(W)의 표면의 주연부에 있어서의 침형상 돌기군(T)의 평탄화 및 웨이퍼(W)의 이면의 주연부에 부착된 복합막으로 이루어지는 부착물(P)의 제거를 실행함에 있어서, 진공 분위기 중에서 웨이퍼(W)를 회전시키면서, 각각의 세정 처리에 대응한 세정 가스의 클러스터(C)를 웨이퍼(W)의 주연부에 조사하도록 하고 있다. 이 때문에, 가스 클러스터(C)의 스퍼터 효과에 의한 물리적인 작용과 반응성 가스에 의한 화학적인 작용의 상승 효과에 의해, 후술하는 테스트의 결과에서 뒷받침되듯이, 실리콘의 침형상 돌기군(T)를 깎아 평탄화하고, 복합막인 부착물(P)를 제거할 수 있다.
이 때, 퍼지 가스를 조사 장소에 웨이퍼(W)의 외주 방향을 향해 토출함으로써, 세정에 의해 생긴 비산물의 웨이퍼(W)에의 재부착을 한층 억제할 수 있다. 또한, 가스 클러스터(C)는 국소적으로 조사할 수 있기 때문에, 불필요 부위(제거 대상 부위)만의 세정 처리를 실시해도, 웨이퍼(W)의 디바이스 영역 등의 유효 영역에는 영향을 주지 않기 때문에, 웨이퍼(W)의 오염을 억제한 상태에서 웨이퍼(W)의 주연부를 양호하게 세정할 수 있다. 예를 들어, 플라즈마를 국소적으로 조사하려고 하면, 플라즈마 공급 노즐 자체가 손상되어 오염원으로 되어 버릴 우려가 있지만, 가스 클러스터(C)에서는 그 염려는 없으며, 또한 장치 구조가 간편하고 저렴하다.
상술한 실시형태에서는 제 1 및 제 2 클러스터 노즐(5, 6)에는 각도조정 기구(54, 64)가 조합되어 있기 때문에, 이것을 이용하여, 가스 클러스터(C)를 토출하는 시간대를 복수로 분할하고, 예를 들면, 처음의 제 1 시간대에서는 제 1 각도로 가스 클러스터(C)를 토출하고, 다음 제 2 시간대에서는 제 2 각도로 토출하는 바와 같은 상태로, 단계적으로 각도를 전환하도록 해도 좋다. 또는 클러스터 노즐(5, 6)이 세정 공정 중에 소위 스윙(swinging) 동작을 반복하도록, 제 1 각도와 제 2 각도의 사이에서 연속적으로 각도를 바꾸도록 해도 좋다.
또한, 제1, 제 2 클러스터 노즐(5, 6)은 X방향으로 이동할 수 있기 때문에, 상기 제 1 시간대와 제 2 시간대에서 웨이퍼(W)의 직경방향에 있어서의 조사 위치를 바꾸도록 해도 좋고, 연속적으로 클러스터 노즐(5, 6)의 상기 직경 방향위치를 바꾸도록 해도 좋으며, 예를 들면, 클러스터 노즐(5, 6)을 제 1 위치와 제 2 위치의 사이에서 왕복 이동시켜도 좋다. 또한, 기술한 바와 같은 클러스터 노즐(5, 6)의 각도의 변경과, 웨이퍼(W)의 직경방향 위치의 변경을 조합해서 실행하도록 해도 좋다.
상술한 실시형태에서는 제 2 클러스터 노즐(6)을 1개 마련하여, 가스종의 전환을 실행하고 있지만, 본 발명은 도 10에 나타내는 바와 같이, 예를 들면, 가스종마다 복수의 제 2 클러스터 노즐(6a, 6b)이 마련되어 있어도 좋다. 도 10에서는 도면이 번잡하게 되지 않도록, 제 1 클러스터 노즐(5) 및 퍼지 가스 노즐(7)과 그들 지지 부재(53, 73) 등에 대해서는 생략하고, 그들 가이드(51, 71)만을 나타내고 있다.
또한, 상술한 실시형태에서는 웨이퍼(W)의 주연부에 부착된 부착물(P)의 구성 성분을 모두 제거했지만, 부착물(P)의 제거에 대해서는 다음 공정에서 필요하게 되는 상태이면 좋고, 경우에 따라서는 모든 성분을 제거하지 않고 일부의 성분만의 제거에 그쳐도 좋다.
상술한 실시형태에서는 부착물(P)에 있어서의 복수의 구성 성분에 대응하는 각 세정 가스를 동일한 클러스터 노즐부터 순차 조사했지만, 각 세정 가스 전용의 복수의 클러스터 노즐에 의해 각 가스종의 가스 클러스터(C)를 함께 동일 장소에 조사해도 좋다.
또한, 상술한 실시형태에서는 침형상 돌기군(T) 및 부착물(P)의 양쪽을 가스 클러스터에 의해 세정 처리했지만, 침형상 돌기군(T)만 가스 클러스터를 적용하고, 부착물(P)에 대해서는, 예를 들면, 웨트 세정 등의 다른 방법에 의해 별도로 제거해도 좋다.
상술한 실시형태에서는 웨이퍼(W)의 주연부의 침형상 돌기군(T) 및 부착물(P)의 세정 처리에 대해 본 발명을 적용하고 있지만, 본 발명은 이것에 한정되는 것은 아니고, 예를 들면,CVD 처리에 의해 웨이퍼상에 형성된 박막에 대해 그 중의 웨이퍼 주연부의 박막만 제거하는 경우 등에 대해 적용해도 좋다.
상술한 실시형태에서는 진공 분위기 중에 있어서 가스 클러스터에 의해 세정 처리를 했지만, 해당 세정 처리 분위기는 클러스터 노즐내의 압력에 비해 낮은 분위기이면 좋고, 상압 분위기이어도 좋다.
피처리 기판으로서는 웨이퍼(W)와 같이 원형 기판에 한정되는 것은 아니고, 예를 들면, 플랫 패널 디스플레이(FPD) 기판 등의 각형의 기판이라도 좋다. 이 경우, 클러스터 노즐(5, 6)을 기판의 주연에 따라 그 1변의 일단에서 타단으로 기판에 대해 상대적으로 이동시키면서 처리를 실행하는 바와 같은 방법을 채용할 수 있다.
[테스트]
여기부터는 본 발명에 의한 실리콘의 침형상 돌기군의 평탄화 및 이면측의 부착물의 제거에 관한 효과를 확인한 실험 예에 대해 설명한다.
(실리콘의 침형상 돌기군의 평탄화)
미리 플라즈마에 의해 표면에 침형상 돌기군을 형성한 실리콘 피스를 준비하였다. 처리 가스로서 ClF3 가스 및 Ar 가스(ClF3 농도로 6부피%)를 이용하고, 가스 클러스터 노즐내의 압력을 0.8MPa, 진공실의 분위기를 10Pa로 하고, 실리콘 피스에 대한 가스 클러스터의 조사 각도를 45°로 설정하여, 실리콘 피스의 표면부에 가스 클러스터를 조사하였다. 도 11은 가스 클러스터의 조사 전 및 조사 후에, SEM에 의해 이 실리콘 피스의 표면을 관찰한 관찰 결과이다. 이 실험 결과, 가스 클러스터의 조사에 의해 실리콘의 침형상 돌기군의 선단이 깎여 평탄화되어 있는 것이 확인되었다.
(부착물의 제거)
금속계 부착물, 실리콘계 부착물 및 유기계 부착물을 상정하여, 각각 텅스텐막, SiO2막 및 유기막을 상정해서 레지스트막을 형성한 기판에 대해, 가스 클러스터를 수직으로 조사하고, 그 조사 흔적의 유무 등을 육안관찰에 의해 확인하고 본 발명의 적용의 가부를 판단하였다. 사용 가스는 텅스텐막에 대해서는 Ar 가스에 의해 희석한 6부피% ClF3 가스를 조사하고, SiO2막 및 레지스트막에 대해서는 Ar 가스에 의해 희석한 5부피% HF 가스 및 5부피% O3 가스를 각각 조사하였다. 또한, 레지스트막에의 조사에 대해서는 기판 온도를 90℃로 가열한 경우와 실온의 경우에 대해 실험을 실행하였다. 텅스텐막 및 SiO2막에 대해서는 조사 흔적을 확인할 수 있었기 때문에, 본 발명에 의해 에칭 가능한 것이 확인되었다. 레지스트막에 대해서는 기판 온도가 실온인 경우에는 조사 흔적이 보이지 않았지만, 기판 온도가 90℃인 경우에는 본 발명에 의해 에칭 가능한 것을 확인하였다.
C 가스 클러스터 G 가스의 원자 및/또는 분자
P 웨이퍼 주연부의 이면에 부착된 부착물
T 웨이퍼 주연부에 형성된 실리콘의 침형상 돌기군
W 웨이퍼 1 대기 반송실
2 진공 반송실 22 진공 반송실내의 반송 기구
3 에칭 장치 31 제 1 진공실
4 기판 세정 장치 41 제 2 진공실
42 정전 척 45 배기 포트
5 제 1 클러스터 노즐 54 제 1 클러스터 노즐의 각도 조정 기구
6 제 2 클러스터 노즐 64 제 2 클러스터 노즐의 각도 조정 기구
7 퍼지 가스 노즐 8 세정 가스 공급계
9 제어부

Claims (11)

  1. 배기구를 갖는 처리실 내에 마련되고, 기판을 유지하기 위한 유지부와,
    상기 유지부에 유지된 기판의 주연부의 불필요 부위를 제거하기 위해, 가스 클러스터를 해당 주연부에 조사하기 위한 노즐부와,
    상기 가스 클러스터의 조사 시에 상기 유지부와 상기 노즐부를 상대적으로 이동시키기 위한 이동 기구
    를 구비하고,
    상기 노즐부는 상기 처리실 내의 압력보다도 높은 압력의 세정 가스를 토출하는 것에 의해 단열 팽창시켜 세정 가스의 원자 및/또는 분자의 집합체인 가스 클러스터를 형성하는 것인 것을 특징으로 하는 기판 세정 장치.
  2. 제 1 항에 있어서,
    상기 기판의 표면측에는 상기 가스 클러스터의 충돌에 의해 기판으로부터 비산한 비산물을 기판의 중심에서 외측으로 불어 날리기 위한 퍼지 가스를 토출하는 퍼지 가스 공급부를 추가로 구비하고 있는 것을 특징으로 하는 기판 세정 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 세정 가스는 승압용 가스와 혼합하고 나서 토출하는 것을 특징으로 하는 기판 세정 장치.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 노즐부는 기판에 대한 가스 클러스터의 조사각을 변경할 수 있도록 구성되어 있는 것을 특징으로 하는 기판 세정 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 노즐부는 기판의 중앙과 외선을 연결하는 방향으로 이동 가능하게 구성되어 있는 것을 특징으로 하는 기판 세정 장치.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 가스 클러스터의 조사 동안에, 가스 클러스터의 조사각의 변경 및 기판의 중앙과 외선을 연결하는 방향의 이동의 적어도 한쪽이 실시되도록 제어하는 제어부
    를 더 구비하고,
    상기 노즐부는 기판과 평행한 축을 중심으로 회전운동하는 것에 의해 가스 클러스터의 조사각을 변경할 수 있는 것 및/또는 기판의 중앙과 외선을 연결하는 방향으로 이동 가능하도록 구성되는 것을 특징으로 하는 기판 세정 장치.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은 원형이고,
    상기 이동 기구는 상기 원형의 기판을 유지하여 상기 기판의 중심부의 축을 중심으로 회전시키기 위한 회전 기구인 것을 특징으로 하는 기판 세정 장치.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은 실리콘 웨이퍼상의 복수 종의 적층막을 에칭한 후의 해당 웨이퍼이며, 기판의 표면측의 주연부에 있어서의 불필요 부위에 상당하는, 다수의 침형상의 실리콘의 돌기군이 형성되고, 이면측의 주연부에는 불필요 부위에 상당하는 복수종의 박막이 부착되어 있는 것을 특징으로 하는 기판 세정 장치.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은 표면 및 이면의 적어도 한쪽의 주연부에 불필요 부위에 상당하는 복수종의 막이 적층되어 있고,
    상기 노즐부에는 복수 종류의 세정 가스를 전환해서 토출할 수 있도록 복수 종류의 가스 공급계가 접속되어 있는 것을 특징으로 하는 기판 세정 장치.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은 표면 및 이면의 적어도 한쪽의 주연부에 불필요 부위에 상당하는 복수종의 막이 적층되어 있고,
    상기 노즐부는 복수 마련되고, 이들 복수의 노즐부는 서로 다른 세정 가스가 공급되는 것을 특징으로 하는 기판 세정 장치.
  11. 진공 분위기하에서 기판을 반송하는 진공 반송실과,
    상기 진공 반송실에 칸막이 밸브를 거쳐서 접속되고, 기판의 표면에 형성된 박막을 드라이 에칭하거나 또는 기판에 박막을 성막하기 위한 진공 처리 모듈과,
    상기 진공 반송실에 칸막이 밸브를 거쳐서 접속되고, 상기 진공 처리 모듈에서 진공 처리된 기판의 주연부를 세정하기 위한 청구항 1 또는 청구항 2에 기재된 기판 세정 장치
    를 구비한 진공 처리 시스템.
KR1020120033295A 2011-03-31 2012-03-30 기판 세정 장치 및 진공 처리 시스템 KR101671555B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011080098A JP5815967B2 (ja) 2011-03-31 2011-03-31 基板洗浄装置及び真空処理システム
JPJP-P-2011-080098 2011-03-31

Publications (2)

Publication Number Publication Date
KR20120112242A true KR20120112242A (ko) 2012-10-11
KR101671555B1 KR101671555B1 (ko) 2016-11-01

Family

ID=46925687

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120033295A KR101671555B1 (ko) 2011-03-31 2012-03-30 기판 세정 장치 및 진공 처리 시스템

Country Status (4)

Country Link
US (1) US9214364B2 (ko)
JP (1) JP5815967B2 (ko)
KR (1) KR101671555B1 (ko)
CN (1) CN102728580A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150021462A (ko) * 2013-08-20 2015-03-02 도쿄엘렉트론가부시키가이샤 기판 세정 장치
KR20180087269A (ko) * 2015-11-30 2018-08-01 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 챔버 클리닝 방법

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5997555B2 (ja) * 2012-09-14 2016-09-28 東京エレクトロン株式会社 エッチング装置およびエッチング方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP2015026745A (ja) * 2013-07-26 2015-02-05 東京エレクトロン株式会社 基板洗浄方法及び基板洗浄装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR20150055655A (ko) * 2013-11-13 2015-05-22 삼성디스플레이 주식회사 기판 세정 장치
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN103995434A (zh) * 2014-06-12 2014-08-20 上海华力微电子有限公司 一种掩膜版除尘装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9735019B2 (en) 2014-09-05 2017-08-15 Tel Epion Inc. Process gas enhancement for beam treatment of a substrate
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6618334B2 (ja) * 2015-06-03 2019-12-11 株式会社Screenホールディングス 基板処理装置、膜形成ユニット、基板処理方法および膜形成方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108350572A (zh) * 2015-09-22 2018-07-31 应用材料公司 大面积双基板处理系统
KR102629308B1 (ko) * 2015-12-07 2024-01-24 도쿄엘렉트론가부시키가이샤 기판 세정 장치
CN107529946A (zh) * 2016-01-18 2018-01-02 奥林巴斯株式会社 内窥镜
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN105964621B (zh) * 2016-05-30 2018-05-29 上海华力微电子有限公司 一种除尘系统及除尘方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6998664B2 (ja) * 2017-03-23 2022-01-18 東京エレクトロン株式会社 ガスクラスター処理装置およびガスクラスター処理方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10510573B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108160628A (zh) * 2017-12-26 2018-06-15 深圳市诺峰光电设备有限公司 一种新型针头清洁装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI689357B (zh) * 2018-02-13 2020-04-01 特銓股份有限公司 基板清洗設備以及基板清洗方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102099105B1 (ko) * 2018-07-18 2020-05-15 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102154486B1 (ko) * 2018-10-11 2020-09-10 주식회사 테스 가스공급유닛
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210009276A (ko) * 2019-07-16 2021-01-26 도쿄엘렉트론가부시키가이샤 처리액 토출 노즐, 노즐 아암, 기판 처리 장치, 및 기판 처리 방법
KR20210026954A (ko) * 2019-09-02 2021-03-10 삼성전자주식회사 반도체 제조 장치
JP7170608B2 (ja) * 2019-09-09 2022-11-14 三菱電機株式会社 ウエハクリーニング装置および半導体装置の製造方法
KR102649715B1 (ko) 2020-10-30 2024-03-21 세메스 주식회사 표면 처리 장치 및 표면 처리 방법
US20220301893A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Wafer Transfer System and Method of Use

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001345294A (ja) * 2000-05-31 2001-12-14 Toshiba Corp 半導体装置の製造方法
KR20080088452A (ko) * 2007-03-29 2008-10-02 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 기판 세정 장치
WO2010021265A1 (ja) 2008-08-18 2010-02-25 岩谷産業株式会社 クラスタ噴射式加工方法、半導体素子、微小電気機械素子、及び、光学部品
WO2010090794A1 (en) * 2009-02-04 2010-08-12 Tel Epion Inc. Multiple nozzle gas cluster ion beam system and method

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
JPS5399762A (en) * 1977-02-12 1978-08-31 Futaba Denshi Kogyo Kk Device for producing compound semiconductor film
CN1019513B (zh) * 1986-10-29 1992-12-16 三菱电机株式会社 化合物薄膜形成装置
JPS63270458A (ja) * 1987-04-27 1988-11-08 Mitsubishi Electric Corp 化合物薄膜形成装置
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
DE4018954A1 (de) * 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
EP0502209B1 (en) * 1990-09-21 1997-05-14 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
JP3169151B2 (ja) * 1992-10-26 2001-05-21 三菱電機株式会社 薄膜形成装置
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
JP3530270B2 (ja) * 1995-04-27 2004-05-24 島田理化工業株式会社 精密洗浄装置
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US6164297A (en) * 1997-06-13 2000-12-26 Tokyo Electron Limited Cleaning and drying apparatus for objects to be processed
US7527698B2 (en) * 1998-09-23 2009-05-05 Interuniversitair Microelektronica Centrum (Imec, Vzw) Method and apparatus for removing a liquid from a surface of a substrate
EP0997926B1 (en) * 1998-10-26 2006-01-04 Matsushita Electric Works, Ltd. Plasma treatment apparatus and method
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100349948B1 (ko) * 1999-11-17 2002-08-22 주식회사 다산 씨.앤드.아이 클러스터를 이용한 건식 세정 장치 및 방법
US6328221B1 (en) * 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
JP3405312B2 (ja) * 2000-02-25 2003-05-12 日本電気株式会社 塗布膜除去装置
KR100445259B1 (ko) * 2001-11-27 2004-08-21 삼성전자주식회사 세정방법 및 이를 수행하기 위한 세정 장치
US7067097B1 (en) * 2002-02-12 2006-06-27 Wojak Gregory J Process for preparing a diamond substance
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
US20080011421A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Processing chamber having labyrinth seal
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP3993048B2 (ja) * 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US8192555B2 (en) * 2002-12-31 2012-06-05 Micron Technology, Inc. Non-chemical, non-optical edge bead removal process
US7578886B2 (en) * 2003-08-07 2009-08-25 Ebara Corporation Substrate processing apparatus, substrate processing method, and substrate holding apparatus
EP1833078B1 (en) * 2004-07-09 2013-03-20 Sekisui Chemical Co., Ltd. Apparatus and method for processing the outer periphery of a substrate
JP2007088398A (ja) * 2004-12-14 2007-04-05 Realize Advanced Technology Ltd 洗浄装置、この洗浄装置を用いた洗浄システム、及び被洗浄基板の洗浄方法
JP4526540B2 (ja) * 2004-12-28 2010-08-18 株式会社日立国際電気 基板処理装置および基板処理方法
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7691278B2 (en) * 2005-09-27 2010-04-06 Lam Research Corporation Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
JP4761381B2 (ja) * 2006-08-01 2011-08-31 東京エレクトロン株式会社 薄膜除去装置及び薄膜除去方法
JP5098019B2 (ja) * 2007-04-27 2012-12-12 ギガフォトン株式会社 極端紫外光源装置
US20080289651A1 (en) * 2007-05-25 2008-11-27 International Business Machines Corporation Method and apparatus for wafer edge cleaning
WO2009036218A1 (en) * 2007-09-13 2009-03-19 Ehd Technology Group, Inc. Apparatus and method for cleaning wafer edge using energetic particle beams
JP5122265B2 (ja) * 2007-10-01 2013-01-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
JP5401089B2 (ja) * 2008-12-15 2014-01-29 東京エレクトロン株式会社 異物除去方法及び記憶媒体
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
US8658937B2 (en) * 2010-01-08 2014-02-25 Uvtech Systems, Inc. Method and apparatus for processing substrate edges
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
KR101344921B1 (ko) * 2012-03-28 2013-12-27 세메스 주식회사 기판처리장치 및 방법
JP6317547B2 (ja) * 2012-08-28 2018-04-25 株式会社Screenホールディングス 基板処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001345294A (ja) * 2000-05-31 2001-12-14 Toshiba Corp 半導体装置の製造方法
KR20080088452A (ko) * 2007-03-29 2008-10-02 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 기판 세정 장치
WO2010021265A1 (ja) 2008-08-18 2010-02-25 岩谷産業株式会社 クラスタ噴射式加工方法、半導体素子、微小電気機械素子、及び、光学部品
KR20110028542A (ko) * 2008-08-18 2011-03-18 이와타니 산교 가부시키가이샤 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
WO2010090794A1 (en) * 2009-02-04 2010-08-12 Tel Epion Inc. Multiple nozzle gas cluster ion beam system and method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150021462A (ko) * 2013-08-20 2015-03-02 도쿄엘렉트론가부시키가이샤 기판 세정 장치
US10049899B2 (en) 2013-08-20 2018-08-14 Tokyo Electron Limited Substrate cleaning apparatus
KR20180087269A (ko) * 2015-11-30 2018-08-01 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 챔버 클리닝 방법

Also Published As

Publication number Publication date
KR101671555B1 (ko) 2016-11-01
CN102728580A (zh) 2012-10-17
US20120247670A1 (en) 2012-10-04
JP5815967B2 (ja) 2015-11-17
US9214364B2 (en) 2015-12-15
JP2012216636A (ja) 2012-11-08

Similar Documents

Publication Publication Date Title
KR20120112242A (ko) 기판 세정 장치 및 진공 처리 시스템
KR101735972B1 (ko) 기판 세정 방법, 기판 세정 장치 및 진공 처리 시스템
JP5776397B2 (ja) 洗浄方法、処理装置及び記憶媒体
US9099298B2 (en) Substrate cleaning apparatus and substrate cleaning method
US9881815B2 (en) Substrate cleaning method, substrate cleaning device, and vacuum processing device
JP6833923B2 (ja) 反射型マスクの洗浄装置および反射型マスクの洗浄方法
TW201413788A (zh) 電漿處理中之缺陷減少
KR100798160B1 (ko) 플라즈마 에칭방법
US10786837B2 (en) Method for cleaning chamber of substrate processing apparatus
KR100743275B1 (ko) 플라즈마 처리 방법 및 후처리방법
KR20010060180A (ko) 실리콘 웨이퍼의 표면처리방법
JP2007258379A (ja) プラズマ処理装置
JP4405236B2 (ja) 基板処理方法および基板処理装置
KR20070073382A (ko) 기판 뒷면의 세정 방법 및 기판 처리 방법
JP2010166092A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 4