CN1831643A - 改善双镶嵌蚀刻轮廓的方法 - Google Patents

改善双镶嵌蚀刻轮廓的方法 Download PDF

Info

Publication number
CN1831643A
CN1831643A CNA2005101147004A CN200510114700A CN1831643A CN 1831643 A CN1831643 A CN 1831643A CN A2005101147004 A CNA2005101147004 A CN A2005101147004A CN 200510114700 A CN200510114700 A CN 200510114700A CN 1831643 A CN1831643 A CN 1831643A
Authority
CN
China
Prior art keywords
layer
dual damascene
improving
interlayer hole
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101147004A
Other languages
English (en)
Other versions
CN100549820C (zh
Inventor
陈政谷
季明华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1831643A publication Critical patent/CN1831643A/zh
Application granted granted Critical
Publication of CN100549820C publication Critical patent/CN100549820C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种改善双镶嵌蚀刻轮廓的方法,具体为一种改善双镶嵌结构中蚀刻轮廓的方法,包括:提供一半导体基底,其中包括一介电绝缘层以及一覆盖其上的硬掩膜层;在硬掩膜层上形成一顺应性的非晶态碳层;形成一沟槽开口,至少延伸穿过非晶态碳层的厚度;形成一双镶嵌结构,其中包括一介层窗,延伸穿过硬掩膜层及穿过部分介电绝缘层,以及一沟槽形成在介层窗之上的,最后将双镶嵌开口以金属材料填满。本发明所述改善双镶嵌蚀刻轮廓的方法,能有效预防介层窗侧壁上的损害、避免光致抗蚀剂及介层窗污染的问题,以及简化制程步骤以改善制造流程。

Description

改善双镶嵌蚀刻轮廓的方法
技术领域
本发明有关于一种集成电路中多层结构半导体元件的制造方法,特别有关一种在双镶嵌制程中改善蚀刻轮廓及流程的方法。
背景技术
随着对超大型集成电路高密度及高效能的需求逐渐增加,伴随而来的是对内连接技术水平提升的要求,当元件尺寸不断缩小时,内连接技术将越难满足低电阻及内连接电容特性,特别是当元件尺寸缩小至65纳米(nm)之下。
在形成双镶嵌结构时,制程困难度随着元件尺寸的降低而提高。举例来说,当元件尺寸缩小时,由于制程容许度降低,在形成硬掩膜(hardmask)、抗反射层以及介层窗插塞时传统材料的性能将扮演关键性的角色。除此之外残留材料或不良的蚀刻轮廓所造成的缺陷,会在元件尺寸缩小时产生较大的影响。
在现有技术中有两种较普遍的双镶嵌制程,其中一种就是所谓的先做介层窗(via-first)制程,其中先在金属间介电层中蚀刻出介层窗,接着再进行沟槽的蚀刻。先做介层窗制程需要两次显影步骤分别形成介层窗及沟槽,而在先做介层窗制程中会产生介层窗污染(via poison)的问题,其中包括在蚀刻沟槽时,光致抗蚀剂会与介层窗侧壁互相反应,以及蚀刻制程对介层窗侧壁造成损害。为了解决上述问题,提出了许多不同的方法,利用保护性的树脂材料,在沟槽蚀刻前形成一介层窗插塞,来保护介层窗的侧壁借以改善沟槽蚀刻轮廓。然而,当沟槽尺寸逐渐缩小时以及使用低介电常数材料当作金属间介电层时,树脂介层窗插塞的使用会产生新的问题,包括:移除介层窗插塞的困难度增加造成较小的介层窗尺寸、树脂会与低介电常数材料反应,特别会与一些有机的低介电常数材料反应,以及在沟槽蚀刻时对蚀刻轮廓的干扰。除此之外,树脂介层窗插塞会污染蚀刻腔体对较小的元件尺寸危害更加严重。
另一种双镶嵌制程为自对准双镶嵌制程,该制程主要是利用金属间介电层上的双硬掩膜层,首先将第一硬掩膜层图案化产生沟槽,接着在第二硬掩膜层上图案化及蚀刻穿过金属间介电层产介层窗。成功完成自对准双镶嵌制程的关键在于用来图案化产生沟槽的硬掩膜材料,例如:一般利用化学气相沉积产生的氮化物当作硬掩膜,该氮化物在显影制程中会与深紫外线(DUV)光致抗蚀剂互相反应,产生残留的聚合物造成所谓的光致抗蚀剂污染。残留的聚合物缺陷非常难移除,而且会提高导线的电阻,另一方面,也会干扰金属填入的制程,因此降低元件的效能及可靠度。除此之外,光致抗蚀剂污染也会在蚀刻腔体中造成无法接受的污染程度,使得在蚀刻制程中基底上产生严重的微粒污染。
因此在大尺寸集成电路制程中需要一种改善的双镶嵌制程来避免现有技术中所产生的缺点,以提高元件效能、可靠度及产能。
发明内容
为达成上述目的,本发明提供一种改善双镶嵌制程中蚀刻轮廓的方法。
在本发明提供一种改善双镶嵌蚀刻轮廓的方法,提供一半导体基底,包括一延伸穿过介电绝缘层及介电绝缘层上硬掩膜层的介层窗;形成一顺应性的非晶态碳层于硬掩膜层上,并填满介层窗;将非晶态碳层上的光致抗蚀剂层图案化形成沟槽开口图案;利用干式蚀刻制程形成双镶嵌开口,再以金属材料填满双镶嵌开口。
在本发明还提供一种改善双镶嵌蚀刻轮廓的方法,提供一半导体基底,包括一介电绝缘层及一覆盖于介电绝缘层上的硬掩膜层;形成一顺应性的非晶态碳层于硬掩膜层上;形成一沟槽开口,延伸穿过至少该非晶态碳层的厚度;形成一双镶嵌开口,包括形成一沟槽开口于一介层窗开口之上,沟槽开口延伸穿过硬掩膜层及部分介电绝缘层;以金属将窗镶嵌开口填满。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该介层窗开口图案包括一介层窗开口,延伸穿过该硬掩膜层以及该介电绝缘层,且该介层窗开口形成在该非晶态碳层之前。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该非晶态碳层的形成步骤包括以非晶态碳层填满该介层窗开口。
本发明所述的改善双镶嵌蚀刻轮廓的方法,形成该双镶嵌开口更包括一移除步骤,将该介层窗开口中的该非晶态碳层移除。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该移除步骤包括一等离子灰化制程,该等离子灰化制程中等离子源气体包括氧气及氢气。
本发明所述的改善双镶嵌蚀刻轮廓的方法,更包括移除该介层窗开口底部的一蚀刻停止层。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该硬掩膜层择自由氮化硅、氮氧化硅、碳化硅或碳氧化硅所组成的族群。
本发明所述的改善双镶嵌蚀刻轮廓的方法,在形成该双镶嵌开口之前更包括:形成一有机底层抗反射层于具有该沟槽开口图案的该非晶态碳层上;图案化一介层窗开口于该有机底层抗反射层上的一第二光致抗蚀剂层;形成该介层窗开口,延伸穿过部分介电绝缘层;以及移除该第二光致抗蚀剂层及该有机底层抗反射层。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该硬掩膜层包括未掺杂硅酸盐玻璃。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该非晶态碳层在形成该双镶嵌开口之前为最上层结构。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该介电绝缘层包括一低介电常数层,择自由碳掺杂氧化硅、有机硅酸盐玻璃以及氟硅玻璃所形成的群组。
本发明所述的改善双镶嵌蚀刻轮廓的方法,该低介电常数层的介电常数约低于3。
本发明所述改善双镶嵌蚀刻轮廓的方法,能有效预防介层窗侧壁上的损害、避免光致抗蚀剂及介层窗污染的问题,以及简化制程步骤以改善制造流程。
附图说明
图1A至图1G为本发明第一实施例双镶嵌结构制程步骤的截面图;
图2A至图2D为本发明第二实施例双镶嵌结构制程步骤的截面图;
图3为本发明实施例的制程流程图。
具体实施方式
为了让本发明的上述和其他目的、特征和优点能更明显易懂,下文特举一较佳实施例,并配合所附图示,作详细说明如下:
虽然本发明的双镶嵌内连接线制程特别适用于0.13微米以下的制程,其中包括65纳米以下的制程,但也可是用于较大尺寸的集成电路制程。本发明能有效预防介层窗侧壁上的损害、避免光致抗蚀剂及介层窗污染的问题,以及简化制程步骤以改善制造流程。
本发明的制造方法亦可应用至一具有中间蚀刻停止层的双镶嵌制程,中间蚀刻停止层形成在介层窗及沟槽间,但会造成额外的电容。较佳在双镶嵌结构为使用一单层的介电绝缘层,其中较佳为一低介电常数材料。
图1A至图1G显示本发明的第一实施例的截面图。图1A显示一导电部分10,例如:铜,其上具有第一蚀刻停止层12,包括:氮化硅、氮氧化硅、碳化硅、碳氧化硅或上述组合,一般大多使用碳化硅当作蚀刻停止层。第一蚀刻停止层12使用传统的化学气相沉积法进行沉积,较佳是利用等离子加强(PECVD)化学气相沉积法或高密度等离子辅助化学气相沉积(HDP-CVD),依据元件特性及尺寸设计沉积厚度100埃至500埃。
接着在蚀刻停止层12上形成一介电层14也就是所谓的金属间介电层,较佳是以低介电常数材料来形成,包括:碳掺杂氧化硅、有机硅酸盐玻璃(OSG)、氟硅玻璃(FSG)或上述组合。低介电常数金属间介电层是利用化学气相沉积形成,较佳是利用等离子加强(PECVD)化学气相沉积法或高密度等离子辅助化学气相沉积(HDP-CVD),依据设计沉积500埃至3000埃。一般来说金属间介电层材料较佳为有机硅酸盐玻璃,例如:黑钻石(blackdiamond),金属间介电层14其介电常数低于3.2,较佳是低于2.8。
于金属间介电层14上最好再形成一介电抗反射层16(DARC),可当作蚀刻硬掩膜,较佳是使用一无机材料,例如:氮化硅、氮氧化硅、碳氧化硅。沉积一适当厚度的介电抗反射层16来降低曝光时的光反射,介电抗反射层16一般利用传统的沉积方法,例如:高密度等离子辅助化学气相沉积、等离子加强化学气相沉积或低压化学气相沉积(LPCVD)。
在介电抗反射层上较佳在再形成一有机底层抗反射层18A(BARC),例如:已曝光的负光致抗蚀剂或非光反应性的有机树脂,其具有吸收图案化波长的光波的特性,接着形成一光致抗蚀剂层19A,较佳为一深紫外线(DUV)光致抗蚀剂,可反应的波长范围低于245nm,于157至193nm之间。有机底层抗反射层18A及深紫外线光致抗蚀剂层19A通常利用旋转涂布及硬化制程。值得注意的是,深紫外线光致抗蚀剂可包括硅单体来增加干蚀刻的阻抗。接着以传统的微影技术在光致抗蚀剂中形成介层窗图案。
如图1B所示,依介层窗图案以等离子辅助多步骤蚀刻制程连续蚀刻穿过有机底层抗反射层18A、介电抗反射层16及金属间介电层14,停止于蚀刻停止层12,形成介层窗开口20A及20B。在蚀刻制程中有机底层抗反射层18A及介电抗反射层16是利用氧气及氮气为等离子产生气体,而金属间介电层14蚀刻则是利用碳氟化合物或氢氟碳化物为等离子产生气体。
如图1C所示,蚀刻介层窗后,以一等离子清除制程将光致抗蚀剂层19A及有机底层抗反射层18A除去,其中等离子产生气体包括氧气、氮气或碳氟化合物。
如图1D所示,接着沉积一非晶态碳层(amorphous carbonlayer)22回填入介层窗中及覆盖介电抗反射层16以密封介层窗开口。其中在填入非晶态碳层的介层窗开口的中线部分,可能垂直地形成一细缝(未显示,例如宽度为数埃)。其中非晶态碳层较佳是利用化学气相沉积形成,最佳是利用高密度等离子化学气相沉积(HDP-CVD)及等离子加强化学气相沉积(PECVD)在温度300至450℃之间进行沉积。非晶态碳层依介层窗开口的尺寸及深度沉积400至700埃。也可通过等离子蚀刻制程进行回蚀刻来减少非晶态碳层的厚度。在后续的沟槽图案化制程中,非晶态碳层在适当的厚度下可当作抗反射层。
如图1E所示,在非晶态碳层上形成一第二光致抗蚀剂层19B,接着以传统的微影制程在第二光致抗蚀剂层上形成沟槽图案,由于先前介电抗反射层上的非晶态碳层也具有抗反射效果,因此在图案化沟槽的制程前不需再沉积一有机底层抗反射层。利用传统的等离子蚀刻制程蚀刻穿过非晶态碳层22、介电抗反射层16及部分金属间介电层14,形成沟槽开口24。
在等离子蚀刻制程中低介电常数的金属间介电层及非晶态碳层皆以相同的蚀刻速率进行,因此提供了介层窗开口侧壁良好的保护,避免现有技术中因不同的蚀刻速率所产生的问题以及因介层窗插塞材料所累积的高分子蚀刻残留物。
如图1F所示,利用氢气或氧气等离子移除残留的第二光致抗蚀剂层19B及非晶态碳层22,接着利用一干式蚀刻制程移除介层窗20A及20B底部的蚀刻停止层12,形成双镶嵌开口。
如图1G所示,利用传统制程形成双镶嵌结构。较佳的双镶嵌开口包括介层窗开口,披覆有顺应性的金属氮化物扩散阻障层26,其中较佳材料氮化钽,利用物理气相沉积法沉积一铜晶种层,再以电化学沉积法将铜填满双镶嵌开口。最后利用化学机械研磨进行平坦化制程,将金属间介电层14上多余的铜、介电抗反射层16及扩散阻障层26移除形成双镶嵌结构。
如图2A至图2D所示,在另一实施例中,利用一自对准制程来形成双镶嵌结构。如图2A所示,在金属间介电层14上形成一未掺杂硅酸盐玻璃层后,形成一非晶态碳层32。接着形成一光致抗蚀剂层并形成沟槽掩膜,跟着进行一干式蚀刻制程,蚀刻穿过非晶态碳层32,利用未掺杂硅酸盐玻璃为蚀刻停止层。
如图2B所示,以湿式或干式蚀刻制程将光致抗蚀剂层34A移除,接着形成一有机底层抗反射层38,并填满位于非晶态碳层中的沟槽开口36。再形成一第二光致抗蚀剂层34B,并将第二光致抗蚀剂层图案化形成介层窗掩膜,进行一等离子蚀刻制程,蚀刻穿过有机底层抗反射层38、未掺杂硅酸盐玻璃30以及部分金属间介电层14,或者是在蚀刻至未掺杂硅酸盐玻璃30后,将第二光致抗蚀剂层34B及有机底层抗反射层38移除。接着通过未掺杂硅酸盐玻璃30及非晶态碳层当作掩膜将金属间介电层14部分蚀刻,例如:蚀刻介层窗开口40A及40B至金属间介电层厚度的1/2或更深。
如图2C所示,移除有机底层抗反射层38及光致抗蚀剂层34B,露出非晶态碳层32上的沟槽开口图案36,利用非晶态碳层当作掩膜,以等离子蚀刻出沟槽开口,同时延伸介层窗40A及40B的开口深度。接着将介层窗底部的蚀刻停止层12,以及覆盖在介层窗上的非晶态碳层,以等离子蚀刻制程移除,较佳是利用氧气或氢气等离子。
如图2D所示,在双镶嵌开口中镀覆一层顺应性的铜层28,并移除金属间介电层14上的未掺杂硅酸盐玻璃层30及阻障层26。
本发明中用来形成双镶嵌结构的方法包括一先形成介层窗制程,以及一自对准制程,其中利用非晶态碳层的特性来改善双镶嵌制程中的蚀刻轮廓。在先形成介层窗的制程中,即本发明的第一实施例,利用非晶态碳当作介层窗填充材料或抗反射层,以避免蚀刻、移除填充材料步骤以及利用有机树脂当作有机抗反射层所产生的问题,因此改善了制造流程及蚀刻轮廓。在自对准制程中,利用非晶态碳层当作硬掩膜的第二实施例中,可避免有关传统硬掩膜(如氮化硅)所产生的问题包括:光致抗蚀剂的污染,蚀刻残留物的累积,因此降低缺陷的产生及改善蚀刻轮廓。
图3显示一包括本发明的制程流程图。在制程步骤301A(先形成介层窗)及301B(自对准)中,提供一半导体基底,包括一金属间介电层以及一置于最上层的硬掩膜层,在先形成介层窗开口的制程中,包括一或多个介层窗延伸穿过金属间介电层的厚度。在制程步骤303中,在硬掩膜层上形成一非晶态碳层,在先形成介层窗的制程中并回填入介层窗开口中。在制程步骤305中,在非晶态碳层上形成一光致抗蚀剂层,并在光致抗蚀剂层上形成沟槽开口图案,其中沟槽开口图案延伸穿过非晶态碳层的厚度。
在制程步骤307A中(先形成介层窗制程),蚀刻沟槽开口图案,并蚀刻穿过硬掩膜层及部分金属间介电层,以形成沟槽开口。在制程步骤309A(先形成介层窗制程)中,移除介层窗介层窗中残余的非晶态碳层,以形成双镶嵌开口。
在制程步骤307B中(自对准制程),在沟槽图案开口上非晶态碳层中形成一有机底层抗反射层。在制程步骤309B中,在第二光致抗蚀剂层上形成介层窗开口图案。在制程步骤311B中,将介层窗开口图案转换至金属间介电层。在制程步骤313B中,将沟槽开口图案转换至介电绝缘层以形成双镶嵌开口。
在制程步骤315中,将介层窗开口底部的蚀刻停止层移除。在制程步骤315B中,移除非晶态碳层。在制程步骤317中,以传统的制程形成阻障层,以电化学沉积法沉积铜层,以及化学机械研磨制程来完成双镶嵌结构。
虽然本发明已通过较佳实施例说明如上,但该较佳实施例并非用以限定本发明。本领域的技术人员,在不脱离本发明的精神和范围内,应有能力对该较佳实施例做出各种更改和补充,因此本发明的保护范围以权利要求书的范围为准。
附图中符号的简单说明如下:
基底:10
蚀刻停止层:12
金属间介电层:14
介电抗反射层:16
介层窗开口:20A、20B
阻障层:26
铜:28
未掺杂硅酸盐玻璃:30
非晶态碳层:32
沟槽开口:36
底层抗反射层:18A、38
光致抗蚀剂层:19A、34A、34B
介层窗开口:40A、40B

Claims (12)

1.一种改善双镶嵌蚀刻轮廓的方法,其特征在于,所述改善双镶嵌蚀刻轮廓的方法包括下列步骤:
提供一半导体基底,包括一介电绝缘层及一覆盖于该介电绝缘层上的一硬掩膜层;
形成一顺应性的非晶态碳层于该硬掩膜层上;
形成一沟槽开口,至少穿过该非晶态碳层;
形成一双镶嵌开口,包括形成该沟槽开口于一介层窗开口图案之上,该沟槽开口延伸穿过该硬掩膜层及部分介电绝缘层;以及
以金属材料将该双镶嵌开口填满。
2.根据权利要求1所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该介层窗开口图案包括一介层窗开口,延伸穿过该硬掩膜层以及该介电绝缘层,且该介层窗开口形成在该非晶态碳层之前。
3.根据权利要求2所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该非晶态碳层的形成步骤包括以非晶态碳层填满该介层窗开口。
4.根据权利要求3所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,形成该双镶嵌开口更包括一移除步骤,将该介层窗开口中的该非晶态碳层移除。
5.根据权利要求4所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该移除步骤包括一等离子灰化制程,该等离子灰化制程中等离子源气体包括氧气及氢气。
6.根据权利要求4所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,更包括移除该介层窗开口底部的一蚀刻停止层。
7.根据权利要求2所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该硬掩膜层择自由氮化硅、氮氧化硅、碳化硅或碳氧化硅所组成的族群。
8.根据权利要求1所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,在形成该双镶嵌开口之前更包括:形成一有机底层抗反射层于具有该沟槽开口图案的该非晶态碳层上;图案化一介层窗开口于该有机底层抗反射层上的一第二光致抗蚀剂层;形成该介层窗开口,延伸穿过部分介电绝缘层;以及移除该第二光致抗蚀剂层及该有机底层抗反射层。
9.根据权利要求8所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该硬掩膜层包括未掺杂硅酸盐玻璃。
10.根据权利要求8所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该非晶态碳层在形成该双镶嵌开口之前为最上层结构。
11.根据权利要求1所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该介电绝缘层包括一低介电常数层,择自由碳掺杂氧化硅、有机硅酸盐玻璃以及氟硅玻璃所形成的群组。
12.根据权利要求1所述的改善双镶嵌蚀刻轮廓的方法,其特征在于,该低介电常数层的介电常数低于3。
CNB2005101147004A 2005-03-08 2005-10-27 改善双镶嵌蚀刻轮廓的方法 Expired - Fee Related CN100549820C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/075,777 2005-03-08
US11/075,777 US7291553B2 (en) 2005-03-08 2005-03-08 Method for forming dual damascene with improved etch profiles

Publications (2)

Publication Number Publication Date
CN1831643A true CN1831643A (zh) 2006-09-13
CN100549820C CN100549820C (zh) 2009-10-14

Family

ID=36971585

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101147004A Expired - Fee Related CN100549820C (zh) 2005-03-08 2005-10-27 改善双镶嵌蚀刻轮廓的方法

Country Status (3)

Country Link
US (1) US7291553B2 (zh)
CN (1) CN100549820C (zh)
TW (1) TWI265596B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101207008B (zh) * 2006-12-22 2010-12-08 恩益禧电子股份有限公司 制造半导体器件的方法
CN101740488B (zh) * 2008-11-07 2014-12-10 台湾积体电路制造股份有限公司 在介电层中形成通孔及垂直蚀刻轮廓的方法
CN105226005A (zh) * 2014-05-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN105637617A (zh) * 2013-11-20 2016-06-01 英特尔公司 微电子晶体管接触体及其制造方法
CN106463458A (zh) * 2014-06-27 2017-02-22 密克罗奇普技术公司 针对空气间隙辅助的蚀刻的自对准双镶嵌工艺

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
KR100698102B1 (ko) * 2005-10-05 2007-03-23 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
TWI322485B (en) * 2005-10-12 2010-03-21 Hynix Semiconductor Inc Method for forming contact hole of semiconductor device
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070093069A1 (en) * 2005-10-21 2007-04-26 Chien-Hua Tsai Purge process after dry etching
KR100739975B1 (ko) * 2005-12-20 2007-07-16 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7902066B2 (en) * 2006-09-26 2011-03-08 Chartered Semiconductor Manufacturing, Ltd. Damascene contact structure for integrated circuits
US7858514B2 (en) * 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
KR100967022B1 (ko) * 2008-04-02 2010-06-30 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그의 형성 방법
US7968506B2 (en) * 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
US8536064B2 (en) * 2010-02-08 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8796150B2 (en) 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US8304262B2 (en) 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US10707123B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of interconnect structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
JP3339477B2 (ja) * 1999-10-04 2002-10-28 日本電気株式会社 ステンシルマスク及びステンシルマスクの形成方法
US6387798B1 (en) * 2001-06-25 2002-05-14 Institute Of Microelectronics Method of etching trenches for metallization of integrated circuit devices with a narrower width than the design mask profile
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
KR100510558B1 (ko) * 2003-12-13 2005-08-26 삼성전자주식회사 패턴 형성 방법
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101207008B (zh) * 2006-12-22 2010-12-08 恩益禧电子股份有限公司 制造半导体器件的方法
CN101740488B (zh) * 2008-11-07 2014-12-10 台湾积体电路制造股份有限公司 在介电层中形成通孔及垂直蚀刻轮廓的方法
CN105637617A (zh) * 2013-11-20 2016-06-01 英特尔公司 微电子晶体管接触体及其制造方法
CN105226005A (zh) * 2014-05-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN105226005B (zh) * 2014-05-30 2018-06-01 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN106463458A (zh) * 2014-06-27 2017-02-22 密克罗奇普技术公司 针对空气间隙辅助的蚀刻的自对准双镶嵌工艺

Also Published As

Publication number Publication date
TWI265596B (en) 2006-11-01
US7291553B2 (en) 2007-11-06
TW200633130A (en) 2006-09-16
US20060205207A1 (en) 2006-09-14
CN100549820C (zh) 2009-10-14

Similar Documents

Publication Publication Date Title
CN1831643A (zh) 改善双镶嵌蚀刻轮廓的方法
CN1828845A (zh) 镶嵌结构与其形成方法
CN1191623C (zh) 以金属硬遮罩层制作双镶嵌插销的方法
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
CN1815711A (zh) 内连线结构及其形成方法
CN1272846C (zh) 在半导体装置中形成金属线的方法
CN1835206A (zh) 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
CN1838408A (zh) 半导体装置及形成辅助介层窗的方法
CN1902745A (zh) 在沟槽蚀刻中降低线条边缘粗糙度
CN100561729C (zh) 双镶嵌结构的制造方法
CN1187823C (zh) 半导体器件及其制造方法
CN1205667C (zh) 一种无突出物形成的双镶嵌制程的湿式清洗方法
CN1238892C (zh) 双重镶嵌结构的制造方法
CN100561706C (zh) 双镶嵌结构的形成方法
CN101055421A (zh) 双镶嵌结构的形成方法
CN1661799A (zh) 半导体器件
CN1260777C (zh) 制造半导体器件的接触垫的方法
CN1203540C (zh) 双重镶嵌结构的制造方法
CN1278379C (zh) 填隙工艺
CN1801463A (zh) 修复半导体器件中损伤层的方法
CN1790662A (zh) 插栓的形成方法与双镶嵌结构的制造方法
CN1447413A (zh) 使用双波纹技术制造半导体器件的方法
CN1277303C (zh) 可简化工艺的双镶嵌工艺
CN1294640C (zh) 介层洞优先双镶嵌制程
CN1941294A (zh) 开口的形成方法以及接触窗的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091014