CN1691276A - 移除阻挡层后的无晶片自动清洗 - Google Patents

移除阻挡层后的无晶片自动清洗 Download PDF

Info

Publication number
CN1691276A
CN1691276A CNA2005100762025A CN200510076202A CN1691276A CN 1691276 A CN1691276 A CN 1691276A CN A2005100762025 A CNA2005100762025 A CN A2005100762025A CN 200510076202 A CN200510076202 A CN 200510076202A CN 1691276 A CN1691276 A CN 1691276A
Authority
CN
China
Prior art keywords
wafer
etching chamber
barrier layer
purge gas
clean
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100762025A
Other languages
English (en)
Other versions
CN100472707C (zh
Inventor
X·S·姚
B·-M·殷
T·韩
P·勒温哈德特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1691276A publication Critical patent/CN1691276A/zh
Application granted granted Critical
Publication of CN100472707C publication Critical patent/CN100472707C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B09DISPOSAL OF SOLID WASTE; RECLAMATION OF CONTAMINATED SOIL
    • B09BDISPOSAL OF SOLID WASTE NOT OTHERWISE PROVIDED FOR
    • B09B5/00Operations not covered by a single other subclass or by a single other group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12MAPPARATUS FOR ENZYMOLOGY OR MICROBIOLOGY; APPARATUS FOR CULTURING MICROORGANISMS FOR PRODUCING BIOMASS, FOR GROWING CELLS OR FOR OBTAINING FERMENTATION OR METABOLIC PRODUCTS, i.e. BIOREACTORS OR FERMENTERS
    • C12M1/00Apparatus for enzymology or microbiology
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12NMICROORGANISMS OR ENZYMES; COMPOSITIONS THEREOF; PROPAGATING, PRESERVING, OR MAINTAINING MICROORGANISMS; MUTATION OR GENETIC ENGINEERING; CULTURE MEDIA
    • C12N1/00Microorganisms, e.g. protozoa; Compositions thereof; Processes of propagating, maintaining or preserving microorganisms or compositions thereof; Processes of preparing or isolating a composition containing a microorganism; Culture media therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61LMETHODS OR APPARATUS FOR STERILISING MATERIALS OR OBJECTS IN GENERAL; DISINFECTION, STERILISATION OR DEODORISATION OF AIR; CHEMICAL ASPECTS OF BANDAGES, DRESSINGS, ABSORBENT PADS OR SURGICAL ARTICLES; MATERIALS FOR BANDAGES, DRESSINGS, ABSORBENT PADS OR SURGICAL ARTICLES
    • A61L2209/00Aspects relating to disinfection, sterilisation or deodorisation of air
    • A61L2209/10Apparatus features
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Biotechnology (AREA)
  • Organic Chemistry (AREA)
  • Zoology (AREA)
  • Wood Science & Technology (AREA)
  • Genetics & Genomics (AREA)
  • General Engineering & Computer Science (AREA)
  • Biochemistry (AREA)
  • Biomedical Technology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microbiology (AREA)
  • General Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Tropical Medicine & Parasitology (AREA)
  • Virology (AREA)
  • Sustainable Development (AREA)
  • Environmental & Geological Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供用于在电介质层上形成特征、并且为多个晶片打开阻挡层、以及在处理并移除多个晶片中的各晶片之后清洗蚀刻室的方法。将多个晶片中的晶片放入蚀刻室中,其中在晶片上有阻挡层,并且在阻挡层上有电介质层。蚀刻电介质层。打开阻挡层。从蚀刻室移除晶片。提供没有晶片的蚀刻室的无晶片自动清洗。无晶片自动清洗包括给蚀刻室提供包括氧气和氮气的无晶片自动清洗气体,以及从无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗蚀刻室。

Description

移除阻挡层后的无晶片自动清洗
发明背景
1.技术领域
本发明涉及半导体器件。涉及为改善蚀刻性能在移除晶片以后对蚀刻室的清洗。
2.背景技术
在形成半导体器件中,要蚀刻阻挡层。这种阻挡层可以是氮化硅SiN。阻挡层的低功率蚀刻可导致在蚀刻室中的沉积。提供从蚀刻室中清洗沉积的工艺是必要的。
发明内容
为获得前述的且与本发明的目的一致的技术,提供一种方法,用于在电介质中形成特征(features)、为多个晶片打开阻挡层、以及处理和移除多个晶片中的各晶片后清洗蚀刻室。将多个晶片中的晶片放入蚀刻室,其中在晶片上的传导层上有阻挡层,且在阻挡层上有电介质层。蚀刻电介质层。打开阻挡层。从蚀刻室中移除晶片。提供没有晶片的蚀刻室的无晶片自动清洗方法。无晶片自动清洗包括,给蚀刻室提供包括氧气和氮气的无晶片自动清洗气体,并且从无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗蚀刻室。
在本发明的另一种表现形式中,提供一种方法,用于在阻挡层的打开已经完成、并且从蚀刻室中已经移除了晶片以腾空蚀刻室之后,清洗蚀刻室。给蚀刻室供给包括氧气和氮气的无晶片自动清洗气体。从无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗蚀刻室。
在本发明的另一种表现形式中,提供一种用于在电介质层上蚀刻特征、并为多个晶片打开阻挡层的装置。提供蚀刻室。在该蚀刻室中提供上电极。在蚀刻室中提供下电极。射频源电连接到上电极和下电极中的至少一个。气体源与蚀刻室流体连接(fluid connection)以供气体进入蚀刻室。控制器可控制地连接到射频源和气体源。控制器包括用于蚀刻电介质层的计算机可读码,用于打开阻挡层的计算机可读码,以及用于提供在打开阻挡层后从蚀刻室中移除晶片以腾空蚀刻室以后蚀刻室的无晶片自动清洗的计算机可读码。用于提供无晶片自动清洗的计算机可读介质包括,用于向蚀刻室提供包括氧气和氮气的无晶片自动清洗气体的计算机可读码,以及用于从无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗蚀刻室的计算机可读码。
在下面的发明的详细说明中并结合附图更详细地描述本发明的这些和其他特征。
附图说明
在附图的图形中以及在附图中相同的附图标记表示相同的单元,是通过举例说明本发明而非限制,其中:
图1是使用本发明用于在电介质层上形成特征的部分工艺的高级流程图(high level flow chart)。
图2A-C是可用于本发明工艺的部分晶片的示意的横断面视图。
图3是可用于本发明的优选实施例的蚀刻室的示意图。
图4A和4B说明适合于实现控制器的计算机系统。
图5是无晶片自动清洗工艺的更详细的流程图。
具体实施方式
现在将通过参考附图中说明的一些优选实施例详细地描述本发明。在以下说明中,为了提供对本发明的透彻理解将提出许多具体的细节。然而,没有这些细节中的某些或全部也可实施本发明,这对于本领域的技术人员是明显的。在其他例子中,为了不会不必要地使本发明不明显,没有详细描述众所周知的工艺步骤和/或结构。
为便于理解,图1是使用本发明的在电介质层上形成特征的工艺部分的高级流程图。在蚀刻室上放置晶片(步骤104)。在晶片上执行电介质蚀刻(步骤108)。在蚀刻电介质之后,打开阻挡层(步骤112)。然后从蚀刻室移除晶片(步骤116)。然后用氧和氮的等离子体对空的蚀刻室进行无晶片自动清洗。
实例
在本发明的实例中,蚀刻室上放置晶片(步骤104)。图2是可用于本发明工艺的晶片200的部分的示意的横截面图。在本实例中,晶片200包括在基底208上的至少一个传导接触204。在传导接触204上放置阻挡层210。如果在本实例中传导接触204是铜。在本实例中阻挡层是氮化硅(SiN)。在其他实施例中,阻挡层可以是碳化硅(SiC)。阻挡层可以有掺杂物。在阻挡层210上放置电介质蚀刻层216。在本实例中,电介质蚀刻层是基于电介质层或低-k(k<4.0)电介质材料的氧化硅电介质。电介质蚀刻层216上放置光致抗蚀刻掩膜220。在本实例中,使用通孔(via)第一工艺形成双镶嵌(dual damascene)特征。在这种工艺中,蚀刻通孔218深入到电介质蚀刻层216之中。移除用于通孔蚀刻的光致抗蚀刻掩膜,并形成用于沟槽模式的光致抗蚀刻掩膜220。此外,在本实施例中,在通孔218中形成通孔塞212。虽然所讨论的层显示为在彼此的上面(即光致抗蚀刻掩膜直接位于电介质蚀刻层的上面),但是在这样的层之间可放置一层或多层(即减反射层(anti-reflective)可以在光致抗蚀刻掩膜和电介质蚀刻层之间)。这就是为什么在说明书和权利要求中,不同的层被描述为“位于”其他层之上的原因。为清楚起见,没有显示可能的中间层。
图3是可用于打开阻挡层的蚀刻室300的示意图。蚀刻室300包括:限制环302,上电极304,下电极308,气体源310和排气泵320。气体源310包括:电介质蚀刻气体源312,阻挡打开气体源316,氧气体源318和氮气体源319。不同的气体可用于多重工艺。在这种情况下,可以组合不同的气体源。例如,在阻挡层打开期间可以使用氮。在这种情况下,可提供单一的氮气源。显示的不同气体源示意性地说明本发明的工作方式。气体源310可以包括另外的气体源。在等离子体处理室300内,在下电极308上安置基底200。下电极308并入有适当的基底夹持机构(例如静电的、机械的夹钳等),用于支撑基底200。反应器盖328并入与下电极308直接相对配置的上电极304。上电极304、下电极308和限制环302确定被限制的等离子体的容积。通过气体源310给被限制的等离子体的容积提供气体,并由排气泵320经限制环302和排气口从被限制的等离子体容积抽出。射频源348与下电极308电连接。上电极304接地。室壁352包围限制环302、上电极304和下电极308。射频源348可包括27MHz的电源和2MHz的电源。在本发明的这个实例中,使用由LAM ResearchCorporationTM of Fremont,California制造的Exelan DFCTM电介质蚀刻机。在其他实例中,连接射频电源与电极的不同组合是可能的,例如将射频源连接到上电极304。
图4A和4B说明计算机系统400,其适合于实现在本发明的实施例中使用的控制器335。图4A显示计算机系统的一种可能的物理形式。当然,计算机系统可以有许多物理形式,范围从集成电路、印刷电路板、以及小型手持装置到巨型超级计算机。计算机系统400包括监视器402、显示器404、箱体406、磁盘驱动器408、键盘410、以及鼠标412。磁盘414是计算机可读介质,用来将数据转录入或转录出计算机系统400。
图4B是计算机系统400的框图的例子。与系统总线420连接的是各种各样的子系统。处理器422(也称为中央处理器或CPU)与存储装置连接,包括存储器424。存储器424包括随机存取存储器(RAM)和只读存储器(ROM)。如在本技术领域内众所周知的是,ROM以单向方式向CPU传输数据和指令,而RAM典型地用来以双向方式传输数据和指令。这两种类型的存储器可以包括以下所描述的任何适合的计算机可读介质。固定盘426也与CPU422双向地连接;其提供附加的数据存储容量,且还可包括以下描述的任何计算机可读介质。可以使用固定盘426存储程序、数据等,固定盘426典型地为比主存储器速率慢的二级存储介质(例如硬盘)。将意识到,在适当的条件下保存在固定盘426内的信息可以以标准方式作为虚拟内存并入存储器424内。可移动盘414可以采取以下描述的任何计算机可读介质的形式。
CPU422还可与多种输入/输出设备连接,例如显示器404、键盘410、鼠标412和扬声器430。通常,输入/输出装置可以是下列中的任一种:视频显示器、跟踪球、鼠标、键盘、麦克风、触感显示器、换能器卡阅读器、磁带或纸带阅读机、特征输入卡、指示笔、声音或手迹识别器、计量生物学阅读器或其他计算机。使用网络界面440,CPU422可随意地连接到另一台计算机或远程通讯网络。用这种网络界面,可以预料在执行上述方法步骤的过程中,CPU可以从网络接收信息,或向网络输出信息。而且,可以仅在CPU422上执行本发明的方法实施例,或者在网络(例如与共享部分处理过程的远程CPU协同的网络)上执行。
另外,本发明的实施例进一步涉及具有计算机可读介质的计算机存储产品,计算机可读介质具有在其上用于执行各种计算机实现的操作的计算机码。介质和计算机码可以是为本发明的目的专门设计并构造的,或者它们可以是公知的那种并且对计算机软件领域的技术人员而言是可以得到的。计算机可读介质的实例包括但并不限于:磁介质例如硬盘、软盘和磁带,光介质例如CD-ROM和全息照像装置,磁光介质例如光磁软盘,以及专门构造来存储和执行程序码的硬件装置,例如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM器件。计算机码的实例包括机器代码,例如由编译器产生的代码,以及使用解释程序由计算机执行的包含高级代码的文件。计算机可读介质还可以是由体现在载波中的计算机数据信号传输的计算机代码,并且代表由处理器可执行的指令序列。
在本实施例中,电介质蚀刻层220是以电介质为基础的氧化硅。如图2B所示,使用沟槽蚀刻来蚀刻电介质蚀刻层(步骤108)以形成沟槽230。在沟槽蚀刻期间或之后,可剥去光致抗蚀刻掩膜220和插塞212。
然后,如图2C所示,打开阻挡层(步骤210)。用于打开SiN阻挡层的蚀刻配方的实例如下:
首先,冷却下电极到30℃。提供稳定步骤。在这个稳定步骤里,设定室压到150mTorr。射频源348不提供功率。提供15秒钟的200sccm的N2、50sccm的CF4和10sccm的CHF3的阻挡打开稳定气流。
接下来,提供等离子体冲击步骤。保持室压在150mTorr。由射频源348提供在27MHz处100瓦和在2MHz处100瓦的功率。提供5秒钟200sccm的N2、50sccm的CF4和10sccm的CHF3的冲击气体流。
接下来,提供主蚀刻步骤。保持室压在150mTorr。由射频源348提供在27MHz处200瓦和在2MHz处400瓦的功率。冷却下电极到30℃。提供18秒200sccm的N2、50sccm的CF4、10sccm的CHF3和5sccm的O2的主蚀刻气流。
最后,提供过蚀刻步骤。保持室压在150mTorr。由射频源348提供在27MHz处200瓦和在2MHz处400瓦的功率。冷却下电极到30℃。提供17秒200sccm的N2、50sccm的CF4和10sccm的CHF3的过蚀气流。
在本实例中,在阻挡打开之后,按照下述配方提供后蚀刻(post etch)处理。首先,提供稳定步骤。在该稳定步骤里,设定室压为200mTorr。射频源348不提供任何功率。冷却下电极到30℃。提供20秒钟800sccm的H2和200sccm的Ar的后蚀刻处理稳定气流。
接下来,提供等离子体冲击步骤。保持室压在200mTorr。由射频源348提供在27MHz处200瓦和在2MHz处300瓦的功率。冷却下电极到30℃。提供5秒钟800sccm的H2和200sccm的Ar的冲击气流。
最后,提供主后蚀刻处理步骤。保持室压在200mTorr。射频源348提供在27MHz处1000瓦和在2MHz处0瓦的功率。冷却下电极到30℃。提供15秒钟800sccm的H2的主后蚀刻处理气流。可使用后蚀刻处理从晶片清洗聚合体和其他沉积物。
将晶片200从蚀刻室300中移除(步骤116)。然后,在空的蚀刻室300上执行无晶片自动清洗(步骤120)。
图5是用于本实施例的无晶片自动清洗工艺的更详细的流程图。给蚀刻室300提供包括氧气和氮气的无晶片自动清洗气体(步骤504)。在本实施例中,提供1000sccm的H2和10sccm的O2。在本实施例中,保持蚀刻室中的压力在680mTorr。从无晶片自动清洗气体混合物形成无晶片自动清洗等离子体(步骤508)。在本实施例中,由射频源348提供20秒钟在27MHz处500瓦和在2MHz处0瓦的功率。作为结果的等离子体清洗室(步骤512)。
氧对氮的流量比优选为1∶200到1∶50之间。更优选的是,氧对氮的流量比在1∶99到2∶98之间。在优选实施例中,无晶片自动清洗气体基本上由氮气和氧气组成。在其他实施例中,加入其他气体或稀释剂。优选的是,在12-50MHz之间的高频率射频源提供超过300瓦的功率,以及在0.1-10MHz之间的低频率射频源提供0-50瓦的功率。更优选的是,在12-50MHz之间的高频率射频源提供约300-1000瓦的功率,以及在0.1-10MHz之间的低频率射频源提供0-25瓦的功率。最优选的是,在约27MHz的高频率处射频源提供约500瓦的功率,以及在低于10MHz的任何低频率处射频源提供约0瓦的功率。优选的是,在无晶片自动清洗期间,室压在250-1000mTorr之间。更优选的是,在无晶片自动清洗期间,室压在400-900mTorr之间。
在优选实施例中,执行阻挡打开之后,并移除蚀刻晶片后,进行无晶片自动清洗。其他实施例可允许不频繁的无晶片自动清洗。
对处理大量晶片,测量各晶片的蚀刻速率和蚀刻均匀度,因而有许多使用本发明的无晶片自动清洗工艺的无晶片自动清洗,本发明的无晶片自动清洗工艺有1-2%的氧气的氮气的无晶片自动清洗气体。比较本工艺与其他无晶片清洗工艺。
对所处理的第一个和第两百个晶片,测量蚀刻速率和蚀刻均匀度,其中氧气只用于无晶片自动清洗。使用仅有氧气作为无晶片自动清洗气体的无晶片自动清洗,在第一和第两百个晶片之间引起12%的工艺变化(process shift)。仅使用氧气作为无晶片自动清洗气体的蚀刻均匀度检测显示均匀度的变化,其表明在200个晶片的蚀刻期间,无论中部的蚀刻速率还是边缘的蚀刻速率都在变化。另外,已发现仅使用氧气的无晶片自动清洗导致在上电极上堆积聚合体。
仅使用氮气而没有氧气也可以执行无晶片自动清洗。发现这种无晶片自动清洗工艺在上电极上不会引起聚合体堆积,但是在下电极上的确会引起聚合体堆积。
还发现,当提供无晶片自动清洗时,会污染下电极,这引起粒子污染。
发现在1500个晶片的蚀刻期间,使用本发明的无晶片自动清洗,有非单调趋势的小于7%的蚀刻工艺变化。使用本发明的无晶片自动清洗的蚀刻均匀度是稳定的。因此,本发明提供大量晶片(例如大于1500)从头到尾更一致的晶片到晶片的蚀刻速率,并且改善单个晶片的蚀刻速率的均匀度和稳定性。还发现,本发明的无晶片自动清洗可防止在上电极和下电极两者上堆积聚合体。
没有理论的限制,可以相信在阻挡层打开步骤中使用的低功率和SiN的化学性质在难以清洗的蚀刻室内提供污染物。已经发现,使用仅有氧气的无晶片自动清洗气体导致工艺变化和在上电极区堆积聚合体。另一方面,使用仅有氮气的无晶片自动清洗气体导致在限制环和下电极区堆积聚合体。已经发现用氮气和氧气混合物的本发明的无晶片自动清洗工艺,可防止工艺变化,并且防止在上电极和下电极区两者上堆积聚合体。
虽然按照几个优选实施例描述了本发明,但是有落入本发明范围内的改造、置换、修改以及各种等同替换。还应当注意,有许多实现本发明的方法和装置的可选择的方式。因此,企图使下面所附的权利要求解释为包括落入本发明的真正的精神和范围内的全部改造、置换、修改以及各种等同替换。

Claims (19)

1.一种用于在电介质层上形成特征和为多个晶片打开阻挡层、以及在处理并移除多个晶片中的各晶片后清洗蚀刻室的方法,包括:
将多个晶片中的晶片放入蚀刻室内,其中所述晶片具有位于其上的阻挡层,并且在所述阻挡层上有电介质层;
蚀刻所述电介质层;
打开所述阻挡层;
从所述蚀刻室移除所述晶片;以及
提供没有所述晶片的所述蚀刻室的无晶片自动清洗,包括:
给所述蚀刻室提供包括氧气和氮气的无晶片自动清洗气体;以及
从所述无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗所述蚀刻室。
2.如权利要求1所述的方法,其中所述无晶片自动清洗气体的氧气对氮气的流量比在1∶99至2∶98之间。
3.如权利要求2所述的方法,其中从SiN和SiC的组中选择所述阻挡层。
4.如权利要求3所述的方法,其中所述阻挡层打开后,每当从所述蚀刻室移除多个晶片中的晶片以腾空所述蚀刻室,就提供无晶片自动清洗。
5.如权利要求4所述的方法,其中所述蚀刻室有上电极和下电极,其中所述无晶片自动清洗既能清洗所述上电极也能清洗所述下电极。
6.如权利要求5所述的方法,其中所述无晶片自动清洗等离子体的形成包括提供频率在12-50MHz之间的超过300瓦的功率。
7.如权利要求6所述的方法,其中所述无晶片自动清洗等离子体的形成进一步包括保持室压在500-750mTorr之间。
8.如权利要求2所述的方法,其中所述阻挡层是SiN。
9.如权利要求2所述的方法,其中所述无晶片自动清洗气体基本包括氮气和氧气。
10.如权利要求1所述的方法,其中所述无晶片自动清洗气体基本包括氮气和氧气。
11.一种用于在阻挡层打开已经执行并且从蚀刻室已经移除晶片以腾空所述蚀刻室之后,清洗所述蚀刻室的方法,包括:
给所述蚀刻室提供包括氧气和氮气的无晶片自动清洗气体;以及
从所述无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗所述蚀刻室。
12.如权利要求11所述的方法,其中在所述无晶片自动清洗气体中氧气对氮气的流量比为1∶99至2∶98之间。
13.如权利要求12所述的方法,其中所述阻挡层打开是打开从SiN和SiC的组中选择的阻挡层。
14.如权利要求12所述的方法,其中所述蚀刻室有上电极和下电极,其中所述无晶片自动清洗既能清洗所述上电极也能清洗所述下电极。
15.如权利要求12所述的方法,其中所述无晶片自动清洗等离子体的形成包括提供频率在12-50MHz之间超过300瓦的功率。
16.如权利要求15所述的方法,其中所述无晶片自动清洗等离子体的形成进一步包括保持室压在500-750mTorr之间。
17.如权利要求12所述的方法,其中所述无晶片自动清洗气体基本包括氧气和氮气。
18.一种用于在电介质层上蚀刻特征并为多个晶片打开阻挡层的装置,包括:
蚀刻室;
与所述蚀刻室一起的上电极;
与所述蚀刻室一起的下电极;
与所述上电极和下电极中的至少一个电连接的射频源;
与所述蚀刻室流体连接、给所述蚀刻室提供气体的气体源;以及
可控制地连接到所述射频源和所述气体源、包括计算机可读媒介的控制器,包括:
用于蚀刻所述电介质层的计算机可读码;
用于打开所述阻挡层的计算机可读码;以及
用于在阻挡层打开后、从所述蚀刻室已经移除晶片以腾空所述蚀刻室之后,提供所述蚀刻室的无晶片自动清洗的计算机可读码,包括:
用于给所述蚀刻室提供包括氧气和氮气的无晶片自动清洗气体的计算机可读码;以及
用于从所述无晶片自动清洗气体形成无晶片自动清洗等离子体以清洗所述蚀刻室的计算机可读码。
19.如权利要求18所述的装置,其中用于提供所述无晶片自动清洗气体的所述计算机可读码提供具有氧气对氮气的流量比为1∶99至2∶98之间的所述无晶片自动清洗气体。
CNB2005100762025A 2004-04-19 2005-04-18 移除阻挡层后的无晶片自动清洗 Active CN100472707C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/828065 2004-04-19
US10/828,065 US7211518B2 (en) 2004-04-19 2004-04-19 Waferless automatic cleaning after barrier removal

Publications (2)

Publication Number Publication Date
CN1691276A true CN1691276A (zh) 2005-11-02
CN100472707C CN100472707C (zh) 2009-03-25

Family

ID=35096831

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100762025A Active CN100472707C (zh) 2004-04-19 2005-04-18 移除阻挡层后的无晶片自动清洗

Country Status (4)

Country Link
US (2) US7211518B2 (zh)
KR (1) KR101144020B1 (zh)
CN (1) CN100472707C (zh)
TW (1) TWI365479B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102136410B (zh) * 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
CN105702602A (zh) * 2014-12-11 2016-06-22 恩智浦有限公司 晶片材料去除
CN111118458A (zh) * 2019-12-04 2020-05-08 北京北方华创微电子装备有限公司 腔室清洁方法及装置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7578945B2 (en) * 2004-09-27 2009-08-25 Lam Research Corporation Method and apparatus for tuning a set of plasma processing steps
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
CN105742203B (zh) * 2014-12-10 2019-08-13 中微半导体设备(上海)股份有限公司 一种改变气体流动模式的装置及晶圆处理方法和设备
JP7199279B2 (ja) * 2019-03-26 2023-01-05 東京エレクトロン株式会社 基板処理装置及び載置台の除電方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
TW567554B (en) * 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102136410B (zh) * 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
CN105702602A (zh) * 2014-12-11 2016-06-22 恩智浦有限公司 晶片材料去除
CN111118458A (zh) * 2019-12-04 2020-05-08 北京北方华创微电子装备有限公司 腔室清洁方法及装置
CN111118458B (zh) * 2019-12-04 2022-03-22 北京北方华创微电子装备有限公司 腔室清洁方法及装置

Also Published As

Publication number Publication date
US7211518B2 (en) 2007-05-01
US20050233590A1 (en) 2005-10-20
TW200539289A (en) 2005-12-01
TWI365479B (en) 2012-06-01
KR101144020B1 (ko) 2012-05-09
CN100472707C (zh) 2009-03-25
KR20060047238A (ko) 2006-05-18
US20070128849A1 (en) 2007-06-07

Similar Documents

Publication Publication Date Title
CN100472707C (zh) 移除阻挡层后的无晶片自动清洗
US7491647B2 (en) Etch with striation control
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US8124516B2 (en) Trilayer resist organic layer etch
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
CN101032003B (zh) 从蚀刻晶片脱模光致抗蚀剂的方法
US7682516B2 (en) Vertical profile fixing
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
KR20110003465A (ko) 포토레지스트 스트립핑 동안 로우-k 재료에 대한 손상 감소
US20110097904A1 (en) Method for repairing low-k dielectric damage
US20070181530A1 (en) Reducing line edge roughness
WO2006028673A1 (en) Etch with uniformity control
US7902073B2 (en) Glue layer for hydrofluorocarbon etch
KR20070046095A (ko) 유전층 에칭 방법
WO2010117964A2 (en) Method for low-k dielectric etch with reduced damage

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant