KR101407661B1 - 제어된 임계 치수 수축을 갖는 에칭 처리 - Google Patents

제어된 임계 치수 수축을 갖는 에칭 처리 Download PDF

Info

Publication number
KR101407661B1
KR101407661B1 KR1020080035225A KR20080035225A KR101407661B1 KR 101407661 B1 KR101407661 B1 KR 101407661B1 KR 1020080035225 A KR1020080035225 A KR 1020080035225A KR 20080035225 A KR20080035225 A KR 20080035225A KR 101407661 B1 KR101407661 B1 KR 101407661B1
Authority
KR
South Korea
Prior art keywords
layer
critical dimension
plasma
etch
opening
Prior art date
Application number
KR1020080035225A
Other languages
English (en)
Other versions
KR20080093392A (ko
Inventor
주디 왕
신-리 성
샤우밍 마
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080093392A publication Critical patent/KR20080093392A/ko
Application granted granted Critical
Publication of KR101407661B1 publication Critical patent/KR101407661B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

감소된 임계 치수를 갖는 기판층 내의 개구부를 에칭하는 방법을 기술한다. 리소그래픽적 패터닝된 포토레지스트 및 패터닝되지 않은 유기 반사-방지 코팅(BARC)을 포함하는 다중층 마스크가 에칭될 기판층 위에 형성된다. BARC층은 큰 음의 에칭 바이어스로 에칭되어 포토레지스트 내에 리소그래픽적으로 한정된 치수 미만으로 다중층 마스크 내의 개구부의 임계 치수를 감소시킨다. BARC 에칭의 큰 음의 에칭 바이어스는 그 후 기판층 내에 감소된 임계 치수를 갖는 개구부를 에칭하기 위해 이용된다. 큰 음의 에칭 바이어스로, BARC 내에 개구부를 플라스마 에칭하기 위하여 CHF3와 같은 폴리머라이징 화학물이 채택된다. 다른 실시예에서, 고주파수 용량성 커플링된 소스로 에너지화된 폴리머라이징 화학물이 낮은 압력에서 제공된다.

Description

제어된 임계 치수 수축을 갖는 에칭 처리{ETCH PROCESS WITH CONTROLLED CRITICAL DIMENSION SHRINK}
본 발명의 실시예들은 전자 제조 산업에 관한 것이며, 보다 구체적으로는 플라스마 에칭 도구로 워크피스를 에칭하는 처리에 관한 것이다.
마이크로 전자부품의 대량 제조가 65나노미터(nm) 기술 노드에 이르면서, 생산 라인 전단(FEOL; front end of line) 및 생산 라인 후단(BEOL; back end of line)의 모든 피쳐(feature)들에 대한 임계 치수(CD; critical dimension) 요건이 점점 더 요구되고 있다. 전형적으로, 65nm 피쳐는 리소그래픽(lithographic)적으로 프린팅된 치수보다 매우 작다. 리소그래픽적으로 한정된 치수를 수축하는 표준 기술은 패턴 트리밍(pattern trimming)이며, 이는 주어진 리소그래피 기술의 수명을 연장시키도록 광범위하게 사용된다. 패턴 트리밍은 마스크 패턴의 일부를 제거하여 패턴을 보다 작게 만든다. 그러나 이러한 기술은 선 패턴에서만 유용하며 선들 사이의 공간 또는 비아 개구부(via opening)들에는 그렇지 않으며, 이는 선들 사이의 공간과 비아 개구부들이 패턴 트리밍 수행시 점점 커지기만 하기 때문이다. 따라서, 게이트 전극 패터닝 및 에칭 처리는 65nm 이하의 게이트 CD를 성취하기 위해 패턴 트리밍을 채택할 수 있지만, 콘택 또는 비아 패터닝 및 에칭 처리는 100nm 이하의 치수에 이르는 데 어려움을 겪는다. 따라서 BEOL에서 트랜치(trench) 및 비아 CD들은 로직(logic) 및/또는 메모리 회로의 추가적인 스케일링(scaling)에 중요한 통로가 되었다.
메인 에칭 동작 동안 에칭된 층 내의 경사진 비아 프로파일(via profile)을 형성하는 것은, 리소그래픽적으로 한정된 마스크 CD보다 작게 비아 CD를 감소시키기 위한 종래 기술이다. 메인 에칭 동안 에칭되는 층을 이후 "기판층(substrate layer)"으로 칭한다. 기판층의 예로서, BEOL에 채택되는 레벨간 유전체(ILD; inter-level dielectric)층이 있다. 일반적으로, 에칭 정면이 에칭 동안 층 내에 보다 깊이 전진함에 따라 (즉, 비아 종횡비가 증가함에 따라) 증가된 비율로 비아 측벽들 상에 증착되는 폴리머라이징 처리 가스(polymerizing process gas)를 포함하는 에칭제(etchant)로 기판층을 에칭함으로써 경사진 비아 프로파일이 성취될 수 있다. 폴리머 증착은, 기판층 내에 에칭된 비아의 상부에서의 CD에 대해 상대적으로 비아의 바닥부에서의 CD를 감소시키는 경사를 제공한다. 그러나 대량 제조에 있어서, 메인 에칭 프로파일 테이퍼링(tapering) 방법은 "에칭-스탑(etch-stop)"으로 알려진 현상에 의해 제한되며, 이 현상은 기판층 내에서 특정 종횡비에 도달한 이후 추가적인 에칭 시간에 따라 에칭 정면이 전진되지 못하는 현상이다. 에칭-스탑은 일반적으로 폴리머가 비아 바닥 및 비아 측벽에 축적되기 시작할 때 일어난다. 에칭 프로파일 테이퍼링의 양은 비아의 종횡비에 의해 제한되며, 따라서 리소그래픽적으로 한정된 비아 마스크 개구부(즉, 상부 CD)가 수축함에 따라 프로파일 테이퍼링으로부터 비아 CD 감소 정도가 줄어든다. 따라서, 약 120nm의 리소그래픽적 CD를 구비한 비아 마스크에 대해, 메인 에칭에서의 처리 상호작용은, 기판층 내에 에칭된 비아의 바닥부와 리소그래픽적 한정된 마스크 CD 사이에서 측정할 경우 20nm보다 다소 작게(즉, 20%보다 작게) 달성가능한 비아 CD 감소를 제한한다.
감소된 임계 치수를 갖는 기판층 내의 개구부를 에칭하는 방법이 개시된다. 본 발명의 일 실시예에서, 리소그래픽적으로 패터닝된 포토레지스트(photoresist) 및 패터닝되지 않은 유기 반사-방지 코팅(BARC)을 포함하는 다중층 마스크(multi-layered mask)가 에칭될 기판층 위에 형성된다. 다른 실시예에서, 다중층 마스크는 BARC와 기판층 상부 사이에 패터닝되지 않은 비정질 탄소(amorphous carbon)층을 더 포함한다. BARC는 큰 음의 에칭 바이어스(etch bias)로 에칭되어 포토레지스트 내의 리소그래픽적으로 한정된 치수 미만으로 다중층 마스크 내의 개구부의 임계 치수를 감소시킨다. 일 실시예에서는, BARC 에칭의 큰 음의 에칭 바이어스는 그 후 다중층 마스크의 비정질 탄소층 내에 감소된 임계 치수를 갖는 개구부를 에칭하기 위해 이용된다. BARC 에칭의 큰 음의 에칭 바이어스는 그 후 다중층 마스크 아래의 기판층 내에 감소된 임계 치수를 갖는 개구부를 에칭하기 위해 이용된다. 특정 실시예에서, BARC 에칭으로부터의 CD 수축은 메인 에칭 동작 동안 기판층 내의 에칭 프로파일을 테이퍼링함으로써 달성가능한 것보다 현저히 크다. 다른 실시예에서, BARC 에칭으로부터의 CD 수축은 기판층 내의 테이퍼링된 프로파일로부터의 CD 수축과 조합된다.
BARC 내의 개구부를 큰 음의 에칭 바이어스로 플라스마 에칭하도록, 폴리머라이징 화학물이 낮은 압력에서 에칭 챔버에 제공된다. 특정 실시예에서, CHF3를 포함하는 폴리머라이징 화학물이 채택된다. 다른 실시예에서, 폴리머라이징 화학물은 100MHz 내지 180MHz에서 동작하는 고주파수 용량성 커플링된 소스로 비교적 낮은 전력에서 에너지화된다. 그러한 일 실시예에서, 162MHz 용량성 커플링된 소스는 300mm 전극에 표준화된 350W 미만의 전력으로 플라스마를 에너지화한다. 대안적 실시예에서, 폴리머라이징 화학물은 50MHz 내지 100MHz에서 동작하는 저주파수 용량성 커플링된 소스로 비교적 높은 전력에서 에너지화된다. 그러한 일 실시예에서, 60MHz 용량성 커플링된 소스는 300mm 전극에 표준화된 400W 초과의 전력으로 플라스마를 에너지화한다.
플라스마 에칭 방법의 실시예들이 도면을 참조하여 기술된다. 그러나 특정 실시예들은 하나 또는 그 이상의 특정 세부사항을 제외하고 실행될 수 있으며, 또는 다른 공지된 방법들, 물질들 및 기기들과 조합되어 실행될 수 있다. 아래의 기술에 있어서, 본 발명에 대한 충분한 이해를 제공하기 위해 특정 물질들, 치수들 및 프로세스 파라미터들 등과 같은 다수의 특정 세부사항들이 설명된다. 다른 경우들에서, 본 발명을 불필요하게 불명료하게 하지 않도록 공지된 반도체 처리 및 제조 기술은 특별히 상세하게 설명되지 않는다. 명세서를 통한 "실시예"라는 언급은 실시예와 관련하여 설명되는 특정한 특성, 구조, 물질 또는 특징이 본 발명의 적어도 하나의 실시예에 포함됨을 뜻한다. 따라서, 본 명세서 전반에 걸쳐 다양한 곳에서 "실시예에서" 구절이 등장해도 본 발명의 동일한 실시예를 반드시 참조할 필요가 없다. 더욱이, 특정한 특성들, 구조들, 물질들 또는 특징들은 하나 또는 그 이상의 실시예들에 있어서 임의의 적절한 방법으로 조합될 수 있다.
도 1은 본 발명의 실시예에 따라 워크피스 상에서 수행되는 비아를 에칭하기 위한 방법(100)의 순서도이다. 본 명세서에서 사용될 때, "비아(via)"는 거의 동일한 길이 및 단면 너비를 갖는 실시예들뿐만 아니라 단면 너비 치수보다 훨씬 긴 길이 치수를 갖는 실시예(즉, 슬롯이나 트렌치)를 포함한다. 유사하게, "비아"의 깊이는 상이한 실시예들에서 변할 수 있다. 도 2a~2f는 본 발명의 실시예에 따라 제조된 피쳐를 갖는 워크피스의 단면도를 도시하며, 도 1에 도시된 방법(100)의 동작이 설명될 때 참조된다.
도 1의 방법(100)은 지지체(210) 상의 기판층(220)(도 2a)에서 시작한다. 일 실시예에서, 지지체(210)는, 이에 제한되지는 않지만, 실리콘, 게르마늄 또는 일반적으로 공지된 Ⅲ-Ⅴ 화합물 반도체 물질과 같은 반도체 웨이퍼이다. 다른 실시예에서 지지체(210)는 유리, 석영, 또는 사파이어 물질이다. 기판층(220)은 일반적으로 그 내부에 개구가 궁극적으로 요구되는 층을 포함한다. 기판층은 마스킹층(masking layer)과 구분되며, 마스킹층은 기판층 내에 패턴을 전달하도록 채택되는 임시적인 층이다. 도 1에 도시된 예시적인 실시예에서 도 2a~2f의 기판층(220)은 질화물층, 실리콘 산화물층 또는 로우-k 물질층과 같은 유전체층이다. 다른 실시예에서, 기판층은 실리콘, 게르마늄, 탄탈, 티타늄, 텅스텐 또는 다른 공지된 물질들과 같은 도체층 또는 반도체층을 포함한다. 또 다른 실시예에서, 기판층은 당해 기술분야에서 공지된 것처럼 유전체 및/또는 반도체 및/또는 도체 물질의 다중층을 더 포함할 수 있다.
도 1의 동작(105)에서, 다중층 마스크가 기판 상에 증착된다. 도 1에 도시된 실시예에서, 다중층 마스크는 300℃를 넘는 온도, 바람직하게는 350℃를 넘는 온도를 견딜 수 있는 패터닝 층을 포함한다. 일 실시예에서, 고온 저항 패터닝 필름은 CVD 탄소 필름이다. CVD 탄소 물질은 sp1, sp2 및 sp3 결합 상태를 갖는 탄소를 포함하며, 이는 전형적인 피롤릴형 탄소, 흑연형 탄소, 및 다이아몬드형 탄소의 혼성인 필름 특성들을 제공한다. CVD 탄소 물질이 다양한 비율로서 다수의 결합 상태들을 포함할 수 있기에, "비정질 탄소(amorphous carbon)"로 일반적으로 지칭된다. 도 2a의 비정질 탄소층(225)은 다중층 마스크의 제 1 층으로서 기판층(220) 상에 형성된다. 비정질 탄소 물질은 미국 캘리포니아의 Applied Materials,Inc.로부터 상업적으로 입수 가능하며, 상품명은 Advanced Patterning Film™(APF)이다. 비정질 탄소층(225)은 감광성이 아니며, 대신 위에 놓인 감광층의 패턴을 높은 충실도로 재생하도록 플라스마 에칭을 이용하여 한정된다. 비정질 탄소층(225)이 (실리콘의 질화물 또는 산화물을 채택하는) 종래의 하드 마스크와 매우 유사하게 마스크에 추가의 두께를 제공하지만, 비정질 탄소층(225)이 감광층을 제거하는데 사용되는 것과 동일한 방법으로, 예를 들어 O2 플라스마 애쉬(ash)로 용이하게 제거될 수 있기 때문에 이는 바람직하다. 따라서, 추가 마스크 제거 동작이 필요하지 않기 때문에, 종래 하드 마스크 처리에 비해 처리 복잡성이 감소한다. 일 실시예에서, 비정질 탄소층은 PECVD 처리를 사용하여 100Å 내지 3000Å의 두께로 형성된 무기 탄소 물질이다. 특정 실시예에서, 층(225)은 약 2000Å이며, 전체 마스크 두께를 한정하기 위해 포토리소그래픽적 처리를 요구하지 않고 기판층(220) 위의 마스크의 총 두께를 증가시킨다. 비정질 탄소층은 또한 질소 또는 다른 첨가제를 포함할 수 있다. 더욱이, 고온에 대한 저항은 유전체 반사-방지 코팅(DARC; dielectric anti-electric coating)과 같은 유전체 캐핑층(capping layer)이 적어도 300℃의 전형적인 유전체 증착 온도에서 비정질 탄소층 위에 증착되도록 한다.
DARC를 포함하는 실시예들은 DARC 물질 조성의 광학 특성 및 포토리소그래피를 위해 사용되는 파장에 의존하는 두께를 갖는 실리콘 질화물, 실리콘 산화물 또는 실리콘 산화질화물(SiON)을 사용할 수 있다. 전형적으로, DARC는 300Å 내지 100Å이며, 특정 실시예에서 약 600Å의 SiON DARC가 채택된다. 다른 실시예에서, DARC는 CVD 탄소 증착과 함께 단일 증착 시스템 내에서 이루어지는 준비 단계(recipe step)로서 증착된다.
증착된 다중층 마스크는 바닥 반사-방지 코팅(BARC; bottom anti-reflective coating)을 포함한다. 도 2a에 도시된 바와 같이, BARC(230)는 비정질 탄소층(225) 위에 (또는, DARC층 위에) 부가된다. BARC(230)는 이에 제한되는 것은 아니나 폴리아미드 및 폴리술폰(polysulfones)과 같은 유기물질이다. BARC는 감광층 패터닝 동안 빛의 반사를 감소시키며, 아래에 놓인 기판층(220)의 에칭 동안 향상된 에칭 저항을 위하여 BARC층이 다중층 마스크(250)의 총 두께를 증가시키기 때문에, 보다 얇은 포토레지스트 코팅을 위해 또한 유용하다. 일반적으로 BARC(230)는 노광을 위해 사용되는 빛을 제거하기에 충분한 두께를 가져야만 하며, 이는 전형적으로 100Å 내지 800Å 이상의 범위이다. 193nm 실시예에 대해, 두께는 약 800Å이다.
BARC(230) 위에, 감광층(포토레지스트)이 코팅된다. 따라서, 도 2a에 도시된 바와 같이 포토레지스트(240)는 BARC(230)에 의해 비정질 탄소층(225)으로부터 분리된다. 포토레지스트(240) 및 BARC(230)은 공통으로 "이중층(bi-layer)" 마스크로 지칭되는 것을 형성된다. 일 실시예에서, 패터닝된 포토레지스트(240)는 2000Å 내지 6000Å의 두께의 종래 아크릴산염 혼합물이다. 대안적 실시예에서, 패터닝된 포토레지스트(240)는 1000Å 내지 2000Å 두께에 기반한 실록산(siloxane) 및 실세스퀴옥산(SSQ; silsesquioxane)이다.
도 1의 동작(110)에서, 개구부가 포토레지스트(240) 내에서 리소그래픽적으로 한정된다. 일 실시예에서, 도 2b를 참조하여, 포토레지스트(240)가 종래 193nm 노광/현상 리소그래피 처리로 패터닝되어 100nm 미만의 제 1 임계 치수(CDL)를 갖는 개구부를 한정한다. 특정 실시예에서, 패터닝된 포토레지스트(240)는 약 50nm 내지 90nm의 제 1 임계 치수를 갖는 개구부를 형성한다. 도 2b에 도시된 바와 같이, 유기 BARC(230)는 노광 및 현상 처리 이후, 비정질 탄소층(225)과 같이, 패터닝되지 않은 상태로 남는다.
다음, BARC 에칭 동작(125)에서, BARC는 플라스마 에칭되어 포토레지스트 내의 리소그래픽적으로 한정된 개구부보다 작은 개구부를 형성한다. 도 2c를 참조하여, 리소그래픽적으로 한정된 임계 치수(CDL)보다 작은 BARC(230)의 바닥에서의 임계 치수(CDE)를 갖는 BARC(230) 내의 개구부를 형성하는 처리 조건 하에서 BARC 플라스마 에칭이 수행된다. 일 실시예에서, BARC 에칭 처리 조건들은 포토레지스트(230)의 측벽들 상에 폴리머(245)를 증착하도록 선택되어 포토레지스트(230) 내에 리소그래픽적으로 한정된 개구부를 바람직한 서브-리소그래픽적 임계 치수(CDE)로 수축시킨다. Δ(CDE-CDL)는 이후 에칭 바이어스(etch bias)로 지칭되며, 따라서 BARC 내의 에칭된 개구부의 임계 치수가 포토레지스트 내의 리소그래픽적 한정된 개구부보다 작은 경우 이는 음수이다. 특정 실시예에서, BARC는 상대적으로 얇고, 전형적으로 1000Å보다 작기 때문에, BARC 에칭 동안 큰 에칭 바이어스를 달성하기 위해 높은 폴리머라이징 처리 조건이 이용된다. 일 실시예에서, 리소그래픽적으로 한정된 임계 치수(CDL)를 10nm 내지 60nm(즉, -10nm 내지 -60nm의 에칭 바이어스)만큼 수축시키도록 BARC 에칭 처리 조건들이 제공된다. 특정 실시예에서, 임계 치수 수축은 리소그래픽적으로 한정된 임계 치수(CDL)의 적어도 20%이다. 이러한 일 실시예에서, 약 100nm의 CDL을 갖는 리소그래픽적으로 한정된 개구부를 위한 에칭 바이어스는 약 -50nm이다. 다른 실시예에서, CDL이 약 50nm인 경우, 에칭 바이어스는 약 -10nm이어서 약 40nm의 CDE를 갖는 BARC 에칭된 개구부를 형성한다.
BARC 에칭이 다중층 마스크(250)를 한정하는 제 1 에칭이기 때문에, BARC(230) 내의 CD 수축은 비정질 탄소(225) 및/또는 기판층(220)의 후속적인 에칭에 대한 마스크에 제공한다. 전술한 바와 같이, BARC층은 비교적 얇고, 전형적으로 100Å 내지 500Å이므로, BARC 에칭 동안 측벽 폴리머의 증착은 작은 두께에 걸쳐서만 테이퍼링된 프로파일을 야기할 수 있다. 따라서, 비교적 많은 양의 측벽 폴리머가 BARC 에칭 동안 후속되어 에칭되는 아래에 놓인 층 내의 많은 양의 측벽 경사를 야기하지 않으면서 증착될 수 있다. 추가로, BARC 에칭 동안 종횡비가 여전히 상대적으로 낮으며, 에칭 스탑과 같은 처리 상의 우려를 감소시킨다. 더욱이, 비정질 탄소층(225)을 채택하는 실시예들에서, BARC층 CD 수축은, 비정질 탄소층을 에칭하도록 사용되는 후속 처리에 양호한 에칭 저항성을 제공하는 마스킹 폴리머(masking polymer)를 제공하여 비정질 탄소층을 통한 제어 가능한 거의 수직인 비아 프로파일을 가능하게 한다. 이러한 장점은 프로파일 및 낮은 CD 범위 모두의 양호한 반복성에 기여한다. 다른 장점으로서, BARC층 CD 수축은 기판층(220) 에칭에 사용되는 처리와 무관하게 다중층 마스크의 CD를 감소시키는 수단을 제공한다. 따라서, 기판층(220) 내에 테이퍼링된 측벽을 구비한 비아를 형성하도록 기판층(220)을 에칭할 수 있는 처리는 BARC CD 수축과 함께 결합될 수 있어서 리소그래픽적으로 한정된 CD보다 훨씬 더 작게 최종 바닥 CD를 달성하게 한다.
도 1의 BARC 에칭 동작(125)에 채택된 플라스마 에칭 처리는, 플라스마로 에너지화될 때 CF2 종들을 생성할 수 있는 폴리머라이징 처리 가스를 포함한다. BARC의 플라스마 에칭 동안 CF2 종들을 생성하는 것은 큰 에칭 바이어스를 제공하도록 BARC 개구부의 CD를 포토레지스트의 CD로부터 수축시키는 데 유리하다. 일반적으로 폴리머라이징 처리 가스의 유량을 증가시키는 것은 BARC 에칭 바이어스를 증가시키는 효과를 갖는다. 그러나 후술하는 바와 같이 에칭 바이어스의 크기는 또한 다수의 다른 처리 파라미터들에 의존한다. 일 실시예에서, 폴리머라이징 처리 가스는 옥타플루오르화시클로부탄(C4F8), 옥타플루오르화시클로펜탄(C5F8), 헥사플루오르화벤젠(C6F6), 및 헥사플루오르화부타디엔(C4F6)과 같이 완전히 할로겐화된다. 다른 실시예에서, 폴리머라이징 처리 가스는 헥사플루오르화시클로부탄온(C4F6O) 및 디플루오르화메탄(CH2F2)과 같이 부분적으로 할로겐화된다. 특정 실시예에서, 폴리머라이징 처리 가스는 분당 5표준 세제곱 센치미터(sccm) 내지 50sccm의 유량으로 에칭 챔버에 유입되는 트리플루오르화메탄(CHF3)이다.
다른 실시예에서, BARC 플라스마 에칭 동작(125)은 비-폴리머라이징 처리 가스를 추가로 포함한다. 일반적으로 비-폴리머라이징 처리 가스는 폴리머라이징 처리 가스에 비해 더 적은 CF2 종들을 생성하는 경향이 있으며 포토레지스트층에 비해 그리고 측벽 폴리머 증착률에 비해 상대적으로 BARC층의 수직 에칭률을 제어하는 추가적인 수단을 제공한다. 일 실시예에서, 비-폴리머라이징 처리 가스는 CF4이다. CHF3를 5sccm 내지 50sccm의 유량으로 채택하는 예시적인 실시예에서, CF4가 0.3:1 내지 1.5:1의 CF4:CHF3 비율을 제공하는데 충분한 유량으로 에칭 챔버에 유입된다.
BARC 에칭 동작(125)은 캐리어 가스를 더 포함할 수 있으며, 이는 측벽 폴리머 형성에 있어서 기능을 추가로 제공할 수 있다. 일 실시예에서, 캐리어 가스는 0.3 내지 1.0의 폴리머라이징 처리 가스에 대한 상대적인 유량을 갖는 질소(N2)이다. 더욱이, 대안적인 실시예에서 N2 소스는 또한 암모니아(NH3)일 수 있음이 주목된다. 대안적인 캐리어 가스 및/또는 부동화 가스(passivating gas)는 헬륨(He)이다.
일 실시예에서, BARC 에칭 동작(125)은 낮은 처리 압력에서 이루어져서 에칭 바이어스의 크기를 증가시킨다. 광학적인 방사 분광법(emission spectroscopy)으로부터, 더 낮은 처리 압력이 플라스마 내의 CF2 종 밀도를 증가시키는 것을 발견하였다. 또한, 더 낮은 압력은 바이어스 전압을 증가시키며 이온 방향성을 증진시키고, 이는 포토레지스트의 측벽 상에 등방성으로 증착된 중성 폴리머 종들의 보다 높은 순 축적량을 추가로 제공할 수 있다. 폴리머라이징 처리 가스의 유량이 감소함에 따라, 더 낮은 처리 압력은 에칭 바이어스 크기에 대해 보다 큰 효과를 갖는다. 따라서, 폴리머라이징 가스 유량이 더 작은 경우, BARC 개구부가 마스킹층보다 작은 정도는 주어진 압력 범위에서 더 많이 변한다. 일 실시예에서, 처리 압력은 25밀리토르(mT)보다 작다. 특정 실시예에서, 압력은 약 10mT이다.
일 실시예에서, BARC 에칭 플라스마는 용량성 커플링된 RF 소스를 이용하여 에너지화된다. 일반적으로 BARC 에칭에서의 에칭 바이어스 크기는 용량성 커플링된 소스의 전력 및 주파수 모두에 따른다. 일 실시예에서, 100MHz 내지 180MHz의 고주파수 소스가 플라스마를 에너지화하도록 채택된다. 그러한 일 실시예에서, 162MHz 소스가 채택된다. 고주파수 용량성 커플링된 소스는 유리하게도 저주파수 소스에 비해 주어진 소스 전력에 대해 BARC 에칭에서의 에칭 바이어스의 크기를 증가시킨다. 고주파수 용량성 커플링된 소스는 보다 높은 이온 밀도를 가능하게 하고 또한 플라스마 내의 CF2 밀도를 증가시킨다. 이러한 효과적인 CF2 종들의 생성은 측벽들 상에서 등방성 증착된 중성 폴리머 종들의 보다 높은 순 축적량을 제공함으로써 BARC층 내의 보다 큰 CD 수축에 기여한다. 소스 전력의 증가는 포토레지스트(240)의 부식률을 또한 증가시키기 때문에 상대적으로 낮은 소스 전력이 또한 바람직하다. 일 실시예에서, 고주파수 소스는, 약 300mm의 지름인 전극을 갖는 에칭 챔버(예를 들어, 300mm 반도체 웨이퍼에 적응된 에칭 도구)에 표준화된 200 내지 400와트(W)의 전력을 전달한다. 다른 실시예에서, 2 내지 60MHz의 주파수에서 동작하는 저주파수 바이어스 전력은 100W 내지 400W의 추가 전력을 플라스마에 제공한다. 저주파수 바이어스 전력은 CF2 종들을 거의 제공하지 않지만 유리하게도 이온 방향성을 제공한다. 특정 실시예에서, 200W 내지 350W의 소스 전력을 전달하는 162MHz 제너레이터 및 약 400W의 바이어스 전력을 전달하는 13.56MHz 제너레이터는 50sccm CHF3 및 50sccm CF4를 10mT의 압력에서 에너지 가하여, 약 400A/min의 BARC 에칭률 및 약 50nm의 CD 수축으로서 BARC층을 플라스마 에칭한다.
전술한 바와 같이 용량성 커플링된 소스의 주파수 및 전력 모두는 BARC 에칭 동작(125)에서 에칭 바이어스의 크기에 영향을 준다. 50MHz 내지 100MHz에서 동작하는 것과 같은 저주파수 용량성 커플링된 소스가 플라스마를 에너지화하도록 채택될 수 있다. 일 실시예에서, 저주파수 소스는 적어도 400W의 비교적 높은 전력으로 동작될 수 있어서 BARC 에칭 동안 큰 CD 수축을 제공한다. 보다 높은 전력은 보다 낮은 주파수 소스과 연관된 보다 낮은 이온 밀도 및 CF2 종 밀도를 적어도 부분적으로 오프셋할 수 있다. 그러나 이러한 보다 낮은 이온 밀도 및 CF2 종 밀도로 인하여 적어도 60MHz의 주파수에서 동작하는 용량성 커플링된 소스가 유리하다. 특정 실시예에서, 약 500W의 소스 전력을 전달하는 60MHz RF 제너레이터는 50sccm의 CHF3 및 50sccm의 CF4를 10mT의 압력에서 에너지 가하여 약 40nm의 CD 수축으로서 BARC층을 플라스마 에칭한다.
폴리머라이징 BARC 에칭 처리는 BARC를 통한 에칭 이후 DARC를 세정하도록 더 채택될 수 있다. 전술한 바와 같이, DARC를 채택한 실시예들은 BARC(230) 아래에 400Å 내지 600Å의 유전체를 포함한다. 전술한 폴리머라이징 BARC 에칭 처리는 비교적 높은 유전 에칭률을 갖기 때문에, 얇은 DARC가 빠르게 세정되며 BARC 에칭에 최적인 조건으로부터 플라스마 에칭 처리 조건을 변경할 필요가 거의 없다.
다음, 도 1의 동작(130)에서, 비정질 탄소층이 에칭되어 다중층 마스크 한정을 완료한다. 일반적으로 비정질 탄소층(225) 내에서 큰 프로파일 경사를 피하도록, 에칭 처리는 측벽 폴리머(245)에 충분히 큰 선택성을 가져야 하며, 이는 BARC(230)를 보호한다(도 2c에 도시됨). 도 2d에 도시된 바와 같이, 비정질 탄소층(225)을 에칭하는 것은 대부분의 포토레지스트층(240)을 제거한다. 비정질 탄소층(225), 포토레지스트층(240)의 두께 및 BARC 에칭과 비정질 탄소 에칭 모두의 포토레지스트에 대한 선택성에 따라, 비정질 탄소층이 에칭된 이후 포토레지스트가 보다 많거나 보다 적게 남는다. 2000Å 두께의 포토레지스트(240), 400Å 두께의 BARC(230) 및 2000Å 두께의 비정질 탄소층(225)을 채택한 특정 실시예에서, 실질적으로 모든 포토레지스트(240) 및 BARC(230)가 비정질 탄소층(225)의 에칭 동안 제거된다. 폴리머라이징 BARC 에칭으로부터 CD 수축이 비정질 탄소 에칭과 무관하기 때문에, 비정질 탄소 에칭을 위한 처리 조건은 폴리머라이징 BARC 에칭을 위한 처리 조건과 분리되어 최적화될 수 있다. 일 실시예에서 비정질 탄소층(225) 내에 에칭되는 개구부의 임계 치수는 BARC(230) 내의 개구부의 감소되는 임계 치수(CDE)와 대략적으로 동일하다. 폴리머라이징 BARC 에칭으로부터 실질적으로 모든 CD 수축을 유지하도록, 도 2d의 비정질 탄소층(225)은 알칸, 알켄 또는 알킨을 포함하는 일반적으로 공지된 비정질 탄소 에칭 처리로써 에칭된다. 그러한 일 실시예에서, 에칭제는 적어도 2개의 탄소를 갖는 C2H6와 같은 탄화수소를 포함한다. 2000Å의 비정질 탄소층(225)을 채택한 특정 실시예에서, 비정질 탄소층(225)을 통한 비아는 실질적으로 비등방성 프로파일 및 약 50nm의 CDE를 갖는다.
도 1의 동작(140)에서, 폴리머라이징 BARC 에칭으로부터 감소된 임계 치수(CDE)의 개구부를 갖는 다중층 마스크를 사용하여 메인 에칭이 수행된다. 도 1에서 파선으로 도시된 바와 같이, 다중층 마스크가 비정질 탄소층 및/또는 DARC층을 결여한 경우, 메인 에칭이 폴리머라이징 BARC 에칭 처리에 바로 이어져서 수행될 수 있다. 그러한 일 실시예에서 BARC 에칭으로부터 CD 수축은 여전히 지렛대 작용을 하여 기판층 내에 에칭된 개구부의 CD를 수축한다.
도 2e에 도시된 바와 같이, 메인 에칭은 비정질 탄소를 포함하는 다중층 마스크를 사용하여 CDL보다 작은 감소된 임계 치수를 기판층(220)에 신뢰도 있게 전달한다. 층의 물질 조성에 따라서, 다양한 공지된 에칭 기술들 및 화학물이 메인 에칭에 채택될 수 있으며, 제한되지 않는 예로서, 이에는 질화 실리콘층 또는 산화 실리콘층의 플루오르-기저 플라스마 에칭이 있다. 일 실시예에서, 유전층은 높은 비등방성 처리로써 에칭되어 BARC 개구부의 임계 치수와 거의 동일한 임계 치수, 즉 CDE의 개구부를 갖는다. 그러한 일 실시예에서, 기판층은 90nm보다 큰 CDL로 리소그래픽적 한정된 개구부를 갖는 다중층 마스크를 사용하여 50nm보다 작은 CDE의 개구부를 갖도록 에칭된다. 다른 실시예에서, 유전층은 테이퍼링된 비아 프로파일을 제공하는 처리로써 에칭되어 리소그래픽적으로 한정된 치수(CDL) 및 BARC 치수(CDE) 모두의 CD보다 작은 바닥 CD를 갖는다.
최종적으로, 동작(150)에서 다중층 마스크의 모든 남은 층이 제거되어 도 1의 방법(100)이 종결된다. 일 실시예에서 인-시츄 애쉬 처리(in-situ ash process)는 다중층 마스크를 제거하도록 채택되고 동작(125, 130 및 140)을 위해 활용되는 동일한 에칭 챔버 내에서 더 수행될 수 있다. 다른 실시예에서 높은 유동의 O2가 적어도 300W의 소스 전력으로 에너지화되는 한편, 바이어스 전력은 150W 미만이다. 높은 소스 전력은 비정질 탄소층(225)과 같은 남은 마스크층을 부식할 것이다. 또한, 인-시츄 애쉬는 다른 워크피스 상에서 방법(100)을 반복하도록 에칭 시스템을 준비한다.
일 실시예에서, 방법(100)의 플라스마 에칭 처리는 미국 캘리포니아 Applied Materials에 의해 제조된 MxP®, MxP+™, Super-E™, eMAX® 또는 Enabler™ 시스템과 같은 에칭 시스템 내에서 수행된다. 다른 에칭 챔버들이 본 발명의 예시적인 실시예들을 수행하는데 사용될 수 있음을 주지하여야 한다. 도 3에서는 예시적인 에칭 시스템(300)의 단면도가 도시된다. 시스템(300)은 접지된 챔버(305)를 포함한다. 워크피스(310)는 개구부(315)를 통해 적재되어 온도 제어되는 캐소드(320)에 클램핑(clamp)된다. 전술한 BARC 에칭의 실시예에서 채택된 처리 가스들 CHF3, N2 및 CF4가 각각 소스(346, 347 및 348)로부터 공급된다. 처리 가스들은 소스로부터 각각의 질량 유동 제어기(349)를 통해 챔버(305) 내측에 공급된다. 챔버(305)는 터보 분자 펌프를 포함한 고 용량 진공 펌프 스택(355)에 연결된 배기 밸브(350)를 통해 5mTorr 내지 500mTorr로 배기된다.
RF 전력이 인가되면, 플라스마가 챔버 처리 영역(360) 내에 형성된다. 특정 실시예에서 자기 코일들(340)이 챔버(305)를 둘러싸서 0G 내지 100G의 회전 자기장이 제공되어 플라스마의 밀도를 제어한다. 바이어스 RF 제너레이터(325)는 캐소드(320)에 연결된다. 바이어스 RF 제너레이터(325)는 바이어스 전력을 제공하여 플라스마를 에너지화한다. 바이어스 RF 제너레이터(325)는 전형적으로 약 2MHz 내지 60MHz의 주파수를 가지며, 특정 실시예에서 13.56MHz이다. 소스 RF 제너레이터(330)가 캐소드(320)에 대해 양극인 플라스마 생성 부재(335)에 커플링되어, 플라스마를 에너지화하는 소스 전력을 제공한다. 전술한 일 실시예에서, Enabler™ 에칭 시스템은 162MHz 및 상대적으로 낮은 소스 전력에서 동작하는 소스 RF 제너레이터를 제공하여 BARC 에칭 동작 동안 개구부의 CD를 수축한다. 일반적으로 바이어스 전력은 워크피스(310) 상의 바이어스 전압에 영향을 주어 워크피스(310)의 이온 충돌을 제어하는 한편, 소스 전력은 워크피스(310) 상의 바이어스와 비교적 무관하게 플라스마 밀도에 영향을 준다. 도 1의 BARC 에칭 동작(125)의 관점에서 전술한 바와 같이, 플라스마를 생성하는 소정의 세트의 입력 가스들의 에칭 성능은 플라스마 밀도 및 웨이퍼 바이어스에 따라 현저히 변하며, 이에 따라 플라스마를 에너지화하는 전력(소스 또는 바이어스)의 양 및 출처(origin)이 중요하다. 전술한 다른 실시예에서, eMax™ 에칭 시스템은 60MHz 및 상대적으로 높은 소스 전력에서 동작하는 소스 RF 제너레이터를 제공하여 BARC 에칭 동작 동안 개구부의 CD를 수축한다.
전극 지름들은 보다 큰 워크피스를 수용하도록 시간에 따라 150mm, 200mm, 300mm 등으로 진행되었으므로, 본 기술분야에서 플라스마 에칭 시스템의 소스 및 바이어스 전력을 전극 면적에 표준화하는 것이 일반적이다. 본 명세서를 통해 와트(W)를 참조하는 것은 300mm 지름의 둥근 전극을 구비하도록 디자인된 시스템의 관점에서 고찰되어야 하며, 따라서 모든 전력들은 적정한 전극 크기 및 형태로 스케일링될 수 있다.
본 발명의 일 실시예에서, 시스템(300)은 제어기(370)에 의해 컴퓨터 제어되어 바이어스 전력, 소스 전력, 자기장 강도, 가스 유동, 압력, 캐소드 온도 및 다른 처리 파라미터들을 제어한다. 제어기(370)는 다양한 서브-프로세서들 및 서브-제어기들을 제어하기 위한 산업적 설정에서 사용될 수 있는 범용 데이터 처리 시스템의 임의의 형태 중 하나일 수 있다. 일반적으로 제어기(370)는 다른 일반적인 구성요소들 중에서 메모리(373) 및 입출력(I/O) 회로(374)와 통신하는 중앙 처리 유닛(CPU)(372)을 포함한다. CPU(372)에 의해 실행되는 소프트웨어 명령들은 시스템(300)으로 하여금 400W 미만을 생성하는 162MHz와 같은 고주파수의 소스 전력으로 에너지화되고 10mT와 같은 낮은 압력에 있는 CHF3와 같은 폴리머라이징 처리 가스로 BARC층들을 에칭하게 한다. CPU(372)에 의해 수행되는 소프트웨어 명령들은 추가로 시스템(300)으로 하여금 비정질 탄소층들을 에칭하게 하고, 유전층들을 에칭하게 할 뿐만 아니라, 본 발명에 따른 다른 처리들을 수행하게 할 수 있다. 본 발명의 일부는 컴퓨터 프로그램 제품으로서 제공될 수 있고, 이는 고주파수 용량성 커플링된 플라스마 소스로써 에너지화되고 낮은 압력에 있는 폴리머라이징 처리 가스로 BARC층들을 에칭하고, 비정질 탄소층들을 에칭하고, 유전층들을 에칭하며, 또한 본 발명에 따른 다른 처리들을 수행하도록 컴퓨터(또는 다른 전자 장치)를 프로그램하도록 사용될 수 있는 명령이 저장된 컴퓨터-판독가능 매체(computer-readable medium)를 포함할 수 있다. 컴퓨터-판독가능 매체는 제한되지 않는 예로서 플로피 디스켓, 광학 디스크, CD-ROM(compact disk read-only memory), 및 자기 광학 디스크, ROM(read-only memory), RAM(random access memory), EPROM(erasable programmable read-only memory), EEPROM(electrically-erasable programmable read-only memory), 자석 또는 광학 카드, 플래시 메모리 또는 다른 전자적 명령을 저장하는데 적합한 다른 공지된 형식의 컴퓨터-판독가능 매체를 포함할 수 있다. 더욱이, 본 발명은 또한 컴퓨터 프로그램 제품으로서 다운로드될 수 있으며, 프로그램은 유선상에서 원격 컴퓨터로부터 요청 컴퓨터에 전달될 수 있다.
본 발명이 구조적 특징들 및/또는 방법적인 동작들에 특정된 언어로서 기술하였으나, 첨부된 청구범위에 의해 한정된 발명은 전술한 구체적 특징 또는 동작에 반드시 제한되는 것은 아니다. 개시된 구체적 특징들 및 동작들은 본 발명을 제한하는 것이 아니라 설명하기 위한, 청구된 발명의 특히 유용한 실시예들로서 이해되어야 한다.
본 발명의 실시예들은 첨부한 도면들에서 제한이 아닌 예시적인 방법으로 설명되며, 상기 도면에서:
도 1은, 본 발명의 특정 실시예에 따라 기판층 내에 개구부를 에칭하는 방법의 순서도를 도시한다.
도 2a는, 본 발명의 일 실시예에 따라, 다중층 마스크 증착 이후 기판의 단면도를 도시한다.
도 2b는, 본 발명의 일 실시예에 따라, 다중층 마스크의 층들 중 하나에 리소그래픽적으로 한정된 개구부를 구비한 도 2a의 기판의 단면도를 도시한다.
도 2c는, 본 발명의 일 실시예에 따라, 다중층 마스크의 유기 반사-방지층 내에 에칭된 개구부를 구비한 도 2a의 기판의 단면도를 도시한다.
도 2d는, 본 발명의 일 실시예에 따라, 다중층 마스크의 비정질 탄소층 내에 에칭된 개구부를 구비한 도 2a의 기판의 단면도를 도시한다.
도 2e는, 본 발명의 일 실시예에 따라, 다중층 마스크에 의해 패터닝된 기판층 내에 에칭된 개구부를 구비한 도 2a의 기판의 단면도를 도시한다.
도 2f는, 본 발명의 일 실시예에 따라, 다중층 마스크의 제거 이후의 도 2a의 기판의 단면도를 도시한다.
도 3은, 본 발명의 일 실시예에 따른 방법들을 실시하기 위한 플라스마 에칭 시스템의 개략적인 단면도이다.

Claims (20)

  1. 플라스마 에칭 챔버 내에서 다중층 마스크 아래에 기판층을 제공하는 단계 ― 상기 다중층 마스크는, 제 1 임계 치수(critical dimension)를 갖는 개구부를 갖는 패터닝된 층 아래의 패터닝되지 않은 유기 반사-방지층(organic anti-reflective layer) 아래에 비정질 탄소층을 포함하고, 상기 유기 반사-방지층의 두께는 10nm 내지 50nm이며, 상기 비정질 탄소층의 두께는 200nm 이상임 ―;
    상기 유기 반사-방지층을 통해 상기 제 1 임계 치수보다 20% 이상 더 작은 임계 치수를 갖는 개구부를 폴리머라이징 처리 가스(polymerizing process gas)로 플라스마 에칭하는 단계; 및
    상기 제 1 임계 치수보다 20% 이상 더 작은 임계 치수를 갖는 개구부를 상기 비정질 탄소층을 통해 그리고 상기 기판층 내로 플라스마 에칭하는 단계;를 포함하며,
    상기 비정질 탄소층을 통해 플라스마 에칭하는 단계에 의해 상기 유기 반사-방지층이 전부 제거되고,
    상기 기판층 내로 플라스마 에칭하는 단계는, 상기 유기 반사-방지층 내로 에칭되는 상기 개구부의 임계 치수와 동일한 임계 치수를 갖는 유전층 내의 개구부를 에칭하는 단계를 더 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 제 1 임계 치수는 100nm보다 작으며, 상기 유기 반사-방지층 내에 에칭된 상기 개구부의 임계 치수는 상기 제 1 임계 치수보다 30nm 이상만큼 더 작은,
    방법.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 플라스마 에칭하는 단계는, 100MHz 내지 180MHz의 주파수를 갖는 용량성 커플링된 소스 전력으로 에너지화된 플라스마에 상기 유기 반사-방지층을 노출시키는 단계를 더 포함하는,
    방법.
  5. 제 4 항에 있어서,
    상기 소스 전력은, 300mm 전극에 표준화된 350W보다 작은,
    방법.
  6. 제 1 항에 있어서,
    상기 플라스마 에칭 단계는, 60MHz 내지 100MHz의 주파수를 갖는 용량성 커플링된 바이어스 전력으로 에너지화된 CHF3를 포함하는 폴리머라이징 처리 가스의 플라스마에 상기 기판을 노출시키는 단계를 더 포함하는,
    방법.
  7. 제 6 항에 있어서,
    상기 바이어스 전력은 300mm 전극에 표준화된 400W 이상인,
    방법.
  8. 제 4 항에 있어서,
    상기 플라스마 에칭 챔버의 압력은 25mT보다 작으며, 상기 폴리머라이징 처리 가스는 CF4를 더 포함하며, CF4:CHF3 가스 비율은 0.3:1 내지 1.5:1인,
    방법.
  9. 삭제
  10. 제 4 항에 있어서,
    상기 플라스마는, 162MHz 주파수를 갖는 용량성 커플링된 RF 소스를 통해 300mm 전극에 표준화된 350W보다 작은 소스 전력으로 에너지화되는,
    방법.
  11. 제 4 항에 있어서,
    상기 플라스마는, 60MHz의 주파수를 갖는 용량성 커플링된 RF 소스를 통해 300mm 전극에 표준화된 400W보다 큰 바이어스 전력으로 에너지화되는,
    방법.
  12. 제 1 항에 있어서,
    상기 에칭하는 단계는 상기 유기 반사-방지층을 에칭하는데 사용된 처리 조건들과 상이한 처리 조건들 하에서 이루어지는,
    방법.
  13. 삭제
  14. 일련의 기계적-실행 가능 명령(machine-executable instructions)을 저장하는 컴퓨터-판독가능 매체(computer-readable medium)로서,
    상기 일련의 기계-실행 가능 명령이 데이터-처리 시스템에 의해 수행되는 경우, 시스템으로 하여금,
    플라스마 에칭 챔버 내에서 다중층 마스크 아래에 기판층을 제공하는 단계 ― 상기 다중층 마스크는, 제 1 임계 치수(critical dimension)를 갖는 개구부를 갖는 패터닝된 층 아래의 패터닝되지 않은 유기 반사-방지층(organic anti-reflective layer) 아래에 비정질 탄소층을 포함하고, 상기 유기 반사-방지층의 두께는 10nm 내지 50nm이며, 상기 비정질 탄소층의 두께는 200nm 이상임 ―;
    25mT 미만의 압력에서 CHF3를 포함하는 에칭제 가스를 상기 챔버 내에 제공하는 단계;
    상기 유기 반사-방지층을 통해 상기 유기 반사-방지층 위의 상기 패터닝된 층 내의 개구부의 임계 치수보다 30nm 이상 더 작은 임계 치수를 갖는 개구부를 플라스마 에칭하는 단계 ― 상기 플라스마는 상기 에칭제 가스를 포함함 ―; 및
    상기 패터닝된 층 내의 상기 개구부의 임계 치수보다 30nm 이상 더 작은 임계 치수를 갖는 개구부를 상기 비정질 탄소층을 통해 그리고 상기 기판층 내로 플라스마 에칭하는 단계;
    를 포함하는 방법을 수행하게 하며,
    상기 비정질 탄소층을 통해 플라스마 에칭하는 단계에 의해 상기 유기 반사-방지층이 전부 제거되고,
    상기 기판층 내로 플라스마 에칭하는 단계는, 상기 유기 반사-방지층 내로 에칭되는 상기 개구부의 임계 치수와 동일한 임계 치수를 갖는 유전층 내의 개구부를 에칭하는 단계를 더 포함하는,
    컴퓨터-판독가능 매체.
  15. 제 14 항에 있어서,
    일련의 기계-실행 가능 명령으로서, 데이터-처리 시스템에 의해 수행되는 경우, 시스템으로 하여금,
    챔버 내에 CF4를 제공하는 단계 ― 상기 에칭제 가스의 CF4:CHF3 가스 비율은 0.3:1 내지 1.5:1임 ―; 및
    162MHz의 주파수를 갖는 용량성 커플링된 RF 소스를 통해 300mm 전극에 표준화된 350W보다 작은 전력으로 상기 플라스마를 에너지화하는 단계;
    를 더 포함하는 방법을 수행하게 하는, 일련의 기계-실행 가능 명령을 포함하는,
    컴퓨터-판독가능 매체.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020080035225A 2007-04-16 2008-04-16 제어된 임계 치수 수축을 갖는 에칭 처리 KR101407661B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/735,938 2007-04-16
US11/735,938 US7838432B2 (en) 2007-04-16 2007-04-16 Etch process with controlled critical dimension shrink

Publications (2)

Publication Number Publication Date
KR20080093392A KR20080093392A (ko) 2008-10-21
KR101407661B1 true KR101407661B1 (ko) 2014-06-17

Family

ID=39577859

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080035225A KR101407661B1 (ko) 2007-04-16 2008-04-16 제어된 임계 치수 수축을 갖는 에칭 처리

Country Status (7)

Country Link
US (1) US7838432B2 (ko)
EP (1) EP1983556A3 (ko)
JP (1) JP5634664B2 (ko)
KR (1) KR101407661B1 (ko)
CN (1) CN101290481A (ko)
SG (1) SG147388A1 (ko)
TW (1) TW200910423A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189681B2 (en) 2018-09-03 2021-11-30 Samsung Display Co., Ltd. Organic light emitting diode display and manufacturing method thereof

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5275085B2 (ja) * 2009-02-27 2013-08-28 株式会社東芝 半導体装置の製造方法
US8512582B2 (en) * 2008-09-15 2013-08-20 Micron Technology, Inc. Methods of patterning a substrate
JP5180121B2 (ja) 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
CN101908474B (zh) * 2009-06-03 2012-02-01 中芯国际集成电路制造(北京)有限公司 在晶圆上制造栅极的方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
TWI458011B (zh) * 2010-10-29 2014-10-21 Macronix Int Co Ltd 蝕刻多層硬式幕罩的方法
US8420947B2 (en) * 2010-12-30 2013-04-16 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with ultra-low k dielectric and method of manufacture thereof
US8735283B2 (en) 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
CN103854995B (zh) * 2012-12-06 2016-10-19 中微半导体设备(上海)有限公司 一种改善侧壁条痕的刻蚀工艺及其装置
CN103035508B (zh) * 2012-12-31 2016-09-07 中微半导体设备(上海)有限公司 特征尺寸收缩方法
US20140357080A1 (en) * 2013-06-04 2014-12-04 Tokyo Electron Limited Method for preferential shrink and bias control in contact shrink etch
KR102513878B1 (ko) * 2014-09-19 2023-03-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
TWI676357B (zh) * 2015-06-05 2019-11-01 美商蘭姆研究公司 配方組之執行同步系統與方法
CN106910679B (zh) * 2015-12-22 2020-09-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
CN109427549A (zh) * 2017-08-21 2019-03-05 中华映管股份有限公司 开口的形成方法和像素结构的制造方法
CN110718506A (zh) * 2019-09-30 2020-01-21 上海华力集成电路制造有限公司 一种制作14nm节点后段制程32nm线宽金属的方法
CN116190315A (zh) * 2023-04-28 2023-05-30 粤芯半导体技术股份有限公司 一种接触通孔及制作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6998348B2 (en) * 2002-05-02 2006-02-14 Stmicroelectronics S.R.L. Method for manufacturing electronic circuits integrated on a semiconductor substrate
WO2006107587A1 (en) * 2005-04-04 2006-10-12 Advanced Micro Devices, Inc. Etch process for cd reduction of arc material

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933759A (en) * 1996-12-31 1999-08-03 Intel Corporation Method of controlling etch bias with a fixed lithography pattern for sub-micron critical dimension shallow trench applications
JP2000077386A (ja) * 1998-08-27 2000-03-14 Seiko Epson Corp パターン形成方法
US6335292B1 (en) * 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
DE19937995C2 (de) * 1999-08-11 2003-07-03 Infineon Technologies Ag Verfahren zur Strukturierung einer organischen Antireflexionsschicht
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
DE10312469A1 (de) * 2003-03-20 2004-10-07 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur
US7297628B2 (en) * 2003-11-19 2007-11-20 Promos Technologies, Inc. Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch
US6960535B1 (en) * 2004-05-14 2005-11-01 Sharp Kabushiki Kaisha Dual damascene etching process
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7371461B2 (en) * 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
JP4952338B2 (ja) * 2006-03-30 2012-06-13 東京エレクトロン株式会社 半導体装置の製造方法、エッチング装置及び記憶媒体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6998348B2 (en) * 2002-05-02 2006-02-14 Stmicroelectronics S.R.L. Method for manufacturing electronic circuits integrated on a semiconductor substrate
WO2006107587A1 (en) * 2005-04-04 2006-10-12 Advanced Micro Devices, Inc. Etch process for cd reduction of arc material

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189681B2 (en) 2018-09-03 2021-11-30 Samsung Display Co., Ltd. Organic light emitting diode display and manufacturing method thereof

Also Published As

Publication number Publication date
SG147388A1 (en) 2008-11-28
EP1983556A2 (en) 2008-10-22
TW200910423A (en) 2009-03-01
US20080254638A1 (en) 2008-10-16
KR20080093392A (ko) 2008-10-21
CN101290481A (zh) 2008-10-22
US7838432B2 (en) 2010-11-23
JP5634664B2 (ja) 2014-12-03
JP2008270803A (ja) 2008-11-06
EP1983556A3 (en) 2010-06-16

Similar Documents

Publication Publication Date Title
KR101407661B1 (ko) 제어된 임계 치수 수축을 갖는 에칭 처리
US7977390B2 (en) Method for plasma etching performance enhancement
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US20070284690A1 (en) Etch features with reduced line edge roughness
US20050037624A1 (en) Method for plasma etching performance enhancement
US20050277289A1 (en) Line edge roughness reduction for trench etch
TWI384529B (zh) 用於arc材料之cd縮小之蝕刻製程
US20110079918A1 (en) Plasma-based organic mask removal with silicon fluoride
KR20100028544A (ko) 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
JP2006514783A (ja) プラズマエッチングのパフォーマンスを改善する方法
US20030054656A1 (en) Method for manufacturing semiconductor device including two-step ashing process of N2 plasma gas and N2/H2 plasma gas
KR20080086385A (ko) 포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리비정질 탄소 마스크
US9589832B2 (en) Maintaining mask integrity to form openings in wafers
JP2005045053A (ja) 半導体装置の製造方法
TW202234140A (zh) 極紫外光(euv)光阻的圖案化顯影方法
JP5011782B2 (ja) 半導体装置の製造方法、プラズマ処理装置及び記憶媒体。
US7041230B2 (en) Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US20240112919A1 (en) Low-Temperature Etch
US20040203242A1 (en) System and method for performing a metal layer RIE process
US11538692B2 (en) Cyclic plasma etching of carbon-containing materials
US20240162043A1 (en) Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification
US20230094212A1 (en) Plasma etch process for fabricating high aspect ratio (har) features
WO2024063871A1 (en) High aspect ratio contact (harc) etch
Chiu et al. A self-aligned double patterning technology using TiN as the sidewall spacer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee