KR20080086385A - 포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리비정질 탄소 마스크 - Google Patents

포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리비정질 탄소 마스크 Download PDF

Info

Publication number
KR20080086385A
KR20080086385A KR1020080026194A KR20080026194A KR20080086385A KR 20080086385 A KR20080086385 A KR 20080086385A KR 1020080026194 A KR1020080026194 A KR 1020080026194A KR 20080026194 A KR20080026194 A KR 20080026194A KR 20080086385 A KR20080086385 A KR 20080086385A
Authority
KR
South Korea
Prior art keywords
amorphous carbon
etching
patterned photoresist
plasma
layer
Prior art date
Application number
KR1020080026194A
Other languages
English (en)
Inventor
종문 김
주디 왕
아제이 엠. 조시
징바오 리우
브리얀 와이. 푸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080086385A publication Critical patent/KR20080086385A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명의 일 실시예에서, 비정질 탄소층을 포함하는 다층 마스크 스택에서 피쳐를 한정하기 위해 할로겐-프리 플라즈마 에칭 프로세스가 사용된다. 특정 실시예에서, 감소된 라인 에지 조도(roughness) 값을 갖는 기판 막에 100nm-이하 피쳐를 생성할 수 있는 마스크를 형성하기 위해 산소(O2), 질소(N2), 및 일산화탄소(CO)가 비정질 탄소층을 에칭하는데 이용된다. 또 다른 실시예에서, 본 발명은 비산화된 실리콘을 함유하는 패터닝된 포토레지스트층에 대한 비정질 탄소 에칭의 선택도를 증가시키기 위해 패터닝된 포토레지스트층의 산화된 실리콘 영역이 우선 형성되도록 할로겐-프리 비정질 탄소 에칭에 앞서 O2 플라즈마 예비처리를 이용한다.

Description

포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리 비정질 탄소 마스크 {HALOGEN-FREE AMORPHOUS CARBON MASK ETCH HAVING HIGH SELECTIVITY TO PHOTORESIST}
본 발명은 전자 제조 산업과 관련되며 특히 다층 마스크 스택에서 피쳐(features)를 에칭하는 프로세스에 관한 것이다.
소자 패턴의 피쳐 크기가 100nm 보다 작아짐에 따라, 피쳐의 최소선폭(CD) 조건은 안정성있고 재현가능한 소자 성능을 위해 보다 중요한 기준이 되고 있다. 포토레지스트 막들은 주어진 광학적 투과성(optical transparency)에서의 보다 나은 해상도를 위해 보다 얇아야 하기 때문에, 하드 마스크를 사용하지 않고 충분한 에칭 저항성을 얻는 것이 보다 어려워졌다. 피쳐 측벽들에서의 줄무늬(striation)는 CD 편차의 주요한 원인중 하나이다. 일반적으로, 줄무늬들은 마스크의 측벽에서 발생되어 피쳐를 형성하기 위해 에칭됨에 따라 기판 속으로 하향 전파되는 것으로 여겨진다. 줄무늬들은 포토리소그래픽 프로세스 및 에칭 프로세스 모두의 결과로서 발생한다. 현상 동안 산(acids)의 확산에 의해 야기되는 초기 라인 에지 조도(LER)는 에칭 동안 악화될 수 있다. 통상적으로 줄무늬들은 피쳐의 하향도에서 취해진 LER 측정치로 평가된다. 또한, 기계적 유연도, 대략 현상직후(as-developed) 측벽들, 및 193nm로 감소된 두께의 ArF 포토레지스트(PR) 조합은 줄무늬 없이 100nm-이하(sub-100nm) 피쳐를 에칭하는 것을 어렵게한다.
작은 LER 값에 대해, 보다 나은 에칭 저항성 및/또는 보다 두꺼운 마스크 물질을 사용하거나, 또는 에칭 프로세스의 선택도를 개선시키는 것이 요구된다. 전자의 옵션은 제조 단계의 수를 증가시켜, 웨이퍼당 높은 비용 및 복잡한 집적화 문제를 발생시킨다. 그러나, 통상적으로 후자의 옵션은 심각한 부하 효과(loading effect)와 같은 몇 가지 에칭 프로세스 제한을 나타내며 증가된 LER은 CD 제어에 악영향을 미치는 불규칙한 폴리머 증착을 야기시킨다.
본 발명의 일 실시예에서, 비정질 탄소층을 포함하는 다층 마스크 스택에서 피쳐를 한정하기 위해 할로겐-프리 플라즈마 에칭 프로세스가 사용된다. 특정 실시예에서, 감소된 라인 에지 조도(roughness) 값을 갖는 기판 막에 100nm-이하 피쳐를 생성할 수 있는 마스크를 형성하기 위해 산소(O2), 질소(N2), 및 일산화탄소(CO)가 비정질 탄소층을 에칭하는데 이용된다. 또 다른 실시예에서, 본 발명은 비산화된 실리콘을 함유하는 패터닝된 포토레지스트층에 대한 비정질 탄소 에칭의 선택도를 증가시키기 위해 패터닝된 포토레지스트층의 산화된 실리콘 영역이 우선 형성되도록 할로겐-프리 비정질 탄소 에칭에 앞서 O2 플라즈마 예비처리를 이용한다. 또 다른 실시예에서, O2 플라즈마 예비처리는 비정질 탄소층 위에 형성된 유기 반사방지 코팅을 패터닝하여 낮은 라인 에지 조도 값을 갖는 유전체막에서 100nm-이하 피쳐를 에칭하기 위한 다층 마스크가 형성된다.
다양한 실시예에서, 도면들을 참조로 신규한 기판 프로세싱 방법들이 개시된다. 그러나, 다양한 실시예들은 보다 구체적인 설명 없이, 또는 다른 공지된 방법, 물질 및 장치들의 조합을 이용하여 실행될 수 있다. 하기 설명에서, 본 발명의 완전한 이해를 돕기 위해 특정 물질, 치수 및 프로세스 파라미터들과 같은 다수의 특정 설명이 개시된다. 다른 경우, 공지된 반도체 프로세스 및 제조 기술들은 본 발명이 불필요하게 불명료해지지 않도록 특정 설명에서는 개시되지 않는다. 본 명세서 전반에서 참조되는 "실시예(an embodiment)"는 실시예와 관련하여 개시되는 특정 피쳐, 구조, 물질 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 발명의 전반에 걸쳐 "실시예에서(in an embodiment)"라는 표현은 반드시 동일한 본 발명의 실시예를 참조하라는 것은 아니다. 또한, 특정 피쳐, 구조, 물질 또는 특성은 하나 이상의 실시예들에서 임의의 적절한 방법으로 조합될 수 있다.
도 1은 본 발명의 실시예에 따라 피쳐를 에칭하는 방법(100)의 흐름도를 나타낸다. 방법(100)은 제조 프로세스 동안 기판 상에서 수행된다. 도 2a-2f는 도 1에 도시된 방법의 실시예에 따라 제조된 피쳐를 갖는 기판의 단면도들이다. 도 3은 본 발명의 실시예들에 이용되는 플라즈마 에칭 시스템(300)을 나타낸다.
도 1의 방법(100)은 지지체(210) 상의 기판막(220)(도 2a)에서 시작된다. 일 실시예에서, 지지체(210)는, 제한되는 것은 아니지만, 실리콘, 게르마늄, 또는 일반적으로 공지된 Ⅲ-Ⅴ 화합물 반도체와 같은 반도체 웨이퍼이다. 또 다른 실시예에서, 지지체(210)는 글래스 또는 사파이어 물질이다. 일반적으로 기판막(220)은 유전체층을 포함한다. 일 실시예에서, 유전체층은 질화물막이지만, 다른 실시예에서, 유전체층은 실리콘의 산화물이다. 그러나, 기판막(220)은, 제한되는 것은 아니지만, 실리콘, 낮은-k 물질들의 산화물 및 금속들과 같이, 일반적으로 업계에 공지된 다른 다양한 물질들의 다중층을 포함할 수 있는 것을 인식해야 한다.
일 실시예에서, 동작(105)시, 비정질 탄소층(225)이 기판층(220) 상에 형성 된다. 비정질 탄소 물질은 APF(Advanced Patterning FilmTM)이란 상표명으로, 미국 캘리포니아, 어플라이드 머티리얼스사로부터 입수가능하다. 비정질 탄소층(225)은 다층 마스크의 제 1 층을 형성한다. 비정질 탄소층(225)은 감광성은 아니며 대신 상부 감광성층의 패턴을 높은 정확도(fidelity)로 산출할 수 있도록 플라즈마 에칭을 이용하여 한정된다. 비정질 탄소막(225)은 종래의 하드 마스크(실리콘의 산화물 또는 질화물 사용)와 같이 마스크에 추가의 두께를 제공하지만, 이는 감광성층을 제거하는데 사용되는 동일한 방법들, 이를테면 O2 플라즈마 애싱으로 비정질 탄소막(225)이 쉽게 제거될 수 있기 때문에 바람직하다. 따라서, 추가의 마스크 제거 동작이 요구되지 않기 때문에, 종래의 하드 마스크 프로세스에 비해 프로세스 복잡성이 감소된다. 일 실시예에서, 비정질 탄소층은 PECVD 프로세스를 이용하여 100Å 내지 3000Å 사이의 두께로 형성된 무기 탄소 물질이다. 특정 실시예에서, 층(225)은 전체 마스크 두께를 한정하는데 있어 포토리소그래피 프로세스를 요구하지 않고 기판막(220) 위로 마스크의 전체 두께를 증가시키도록 대략 2000Å 두께이다. 또한 비정질 탄소층은 질소 또는 다른 첨가제를 포함할 수 있다. 부가적으로, 비정질 탄소층은 통상적으로 SiON으로 이루어진 무기 반사-방지 코팅(ARC)층(미도시)으로 캡핑될 수 있다.
도 2b는 포토리소그래피 동작(110)(도 1) 이후 다층 마스크(250)를 나타낸다. 일 실시예에서, 동작(110) 동안, 바닥 반사-방지 코팅(BARC)(230)가 적용된다. BARC(230)는 감광성층을 패터닝하는 동안 광의 반사를 감소시키는데 이용된 다. 또한 BARC(230)는 보다 얇은 실리콘-포토레지스트 코팅에 유용하며, 이는 BARC(230)는 기판막(220)을 에칭하는 동안 개선된 에칭 저항성을 위해 마스크막의 두께를 증가시키기 때문이다. 통상적으로 BARC(230)는, 제한되는 것은 아니지만, 폴리아미드 및 폴리술폰과 같은 유기 물질이다. 일반적으로, BARC(230)는 노광을 위해 사용되는 광을 소멸시키기 위해 요구되는 최소 두께를 갖는다. 193nm 애플리케이션에 대해, 상기 두께는 일반적으로 다양하게 공지된 유기 BARC 식에 대해 400Å 정도이다. 통상적으로 비정질 탄소층(225) 상에 BARC(230) 및 ARC층(미도시) 모두를 가질 필요는 없지만, 구현될 수도 있다.
동작(110) 동안, 감광성층(포토레지스트)은 비정질 탄소층(225) 위에 패터닝된 포토레지스트(240)를 형성하기 위해 일반적으로 공지된 기술들을 사용하여 코팅, 노광 및 현상된다. 일 실시예에서(미도시), BARC(230)는 사용되지 않으며 패터닝된 포토레지스트(240)는 비정질 탄소층(225)(또는 무기 ARC) 상에 코팅된다. 또 다른 실시예에서, 도 2b에 도시된 것처럼, 패터닝된 포토레지스트(240)는 BARC(230)에 의해 비정질 탄소층(225)으로부터 분리된다. 패터닝된 포토레지스트(240) 및 BARC(230)는 통상적으로 "이중-층(bi-layer)" 레지스트라 불리는 것을 형성한다. 일 실시예에서, 패터닝된 포토레지스트(240)는 10nm 이하의 최소선폭을 갖는 피쳐를 형성한다. 특정 실시예에서, 패터닝된 포토레지스트(240)는 약 70nm 내지 80nm 사이의 최소선폭을 갖는 피쳐를 형성한다.
일 실시예에서, 패터닝된 포토레지스트(240)는 2000Å 내지 6000Å 사이의 두께를 갖는 종래의 아크릴레이트 조성물이다. 선택적 실시예에서, 패터닝된 포토 레지스트(240)는 실록산 및 SSQ(silsesquioxane)계이다. 특정 실시예에서, 패터닝된 포토레지스트(240)는 일본 쿠슈, 제이에스알 마이크로 쿠슈 코.. 엘티디로부터 입수가능한 JSR EBXTM 시리즈와 같이 약 15%(원자) 실리콘을 포함한다. 또다른 실리콘 포토레지스트로는 미국, RI, 후지필름 일렉트로닉 머티리얼스 유.에스.에이.로부터 입수가능한 FujiFilm TIS-2000TM이 있다. 또 다른 실시예에서, 실리콘을 함유하는 패터닝된 포토레지스트(240) 두께는 1000Å-2000Å 사이이다.
따라서, 도 1의 동작(110) 완료시, 패터닝되지 않은 비정질 탄소층(225) 위로 패터닝된 포토레지스트(240)의 층을 포함하는 다층 마스크 스택이 기판막(220) 상에 형성된다. 또 다른 실시예에서, 도 2b에 도시된 것처럼, 다층 마스크 스택(250)은 패터닝되지 않은 비정질 탄소층(225)으로부터 패터닝된 포토레지스트(240)의 층을 분리하는 패터닝되지 않은 유기 BARC(230)을 포함한다.
동작(120)에서, 본 발명의 특정 실시예는 비정질 탄소층(225)을 한정하기 이전에 패터닝된 포토레지스트(240)에 포함된 실리콘 일부를 산화 또는 질화처리하기 위해 패터닝된 포토레지스트(240)의 플라즈마 예비처리를 포함한다. 도 2c에 도시된 것처럼, 포토레지스트 실리콘 일부의 산화 또는 질화는 패터닝된 포토레지스트(240)에 변형된 포토레지스트(245)를 형성한다. 변형된 포토레지스트(245)는 하기에 설명되는 방법을 이용하여 비정질 탄소층(225)을 순차적으로 에칭하는 동안 처리되지 않은 패터닝된 포토레지스트(240) 보다 낮은 에칭률을 갖는다. 이러한 낮은 에칭률은 비정질 탄소층(225) 및 결국 기판막(220)의 라인 에지 조도를 감소 시킨다. 변형된 포토레지스트(245)의 낮은 에칭률은 비정질 탄소층(225)의 순차적 에칭의 선택도(S)를 증가시킨다. 선택도(S)는 마스크막의 에칭률에 대한 에칭되는 막의 에칭률의 비율로 정의된다. 하기에 개시되는 특정 실시예에서, 비정질 탄소에칭 대 변형된 포토레지스트(245)의 선택도는 10:1 내지 100:1이다. 높은 선택도(S)는 기판막(220)의 메인 에칭에 대한 제한이 완화되어 측벽 평활도가 개선되게 다층 마스크(250)의 비정질 탄소층(225)의 두께가 증가되게 한다.
일 실시예에서, 변형된 포토레지스트(245)는 패터닝된 포토레지스트(240)의 실리콘의 플라즈마 산화에 의해 형성된다. Si-O 결합을 형성하기 위한 실리콘 일부의 산화는 포토레지스트에서 유기물 일부의 산화와 필적하는 메커니즘이다. 유기 종들의 산화에 적절한 조건은 바람직하게 변형된 포토레지스트(245)를 형성하지 않고도 전형적인 포토레지스트 트리밍 프로세스에서처럼 패터닝된 포토레지스트(240)의 최소선폭을 축소시킬 수 있다. 포토레지스트에서 실리콘 종들의 산화에 적합한 조건들에 따라, 패터닝된 포토레지스트(240)의 최소선폭은 적은 양으로 감소되는 반면, 변형된 포토레지스트(245)의 두께는 증가된다. 이러한 후자의 조건은 허용불가능한 라인 최소선폭(CD) 손실 없이 순차적으로 비정질 탄소층(225)의 에칭 선택도(S)를 개선시킨다.
일 실시예에서, 약 40-50 sccm 이상의 O2 흐름이 사용되어 상기 임계치 이하의 유량에서 초래되는 것보다 패터닝된 포토레지스트(240)에서 적은 CD 손실이 야기된다. 약 40-50 sccm 이상의 O2 흐름이 이용되는 실시예들은 비교적 일정한 양의 CD 손실을 나타낸다. 일 실시예에서, N2 또는 CO와 같은 첨가제가 O2 플라즈마에 주입되지 않으며 이는 비산화 첨가제가 변형된 포토레지스트(245)의 형성을 방해하여, 패터닝된 포토레지스트(240)에 대해 낮은 선택도를 갖도록 비정질 탄소층(225)을 순차적으로 에칭하기 때문이다. N2 및 CO 첨가제는 플라즈마의 산화 화학물(chemistry)을 희석시킬 뿐만 아니라 변형된 포토레지스트(245) 및 증가된 이온 충돌이 패터닝된 포토레지스트(240)의 코너 홈으로부터 스퍼터링되게 하는 경향이 있는 것으로 여겨진다.
또 다른 실시예에서, 200W 이상의 소스 전력이 이용된다. 200W 임계치 이하의 소스 전력은 변형된 포토레지스트(245)가 형성되도록 패터닝된 포토레지스트(240)의 실리콘을 산화시키기에는 불충분하다. 따라서, 상기 임계치 이상의 소스 전력은 보다 큰 선택도(S) 개선을 산출한다. 또 다른 실시예에서, 50W 내지 200W 사이의 바이어스 전력이 사용된다. 또한 보다 높은 바이어스 전력은 패터닝된 포토레지스트(240)의 산화 양을 증가시키나, 패터닝된 포토레지스트(240)의 최소선폭(CD)의 손실을 바람직하게 못하게 증가시킨다.
따라서, 소정 실시예에서, 산소 플라즈마 예비처리는기판을 -10℃ 내지 20℃ 사이로 냉각시키면서 10 내지 200mTorr에서 유지되는 200mm 챔버에서 50W 내지 200W 사이의 바이어스 전력 및 250W 내지 500W 사이의 소스 전력을 이용하여 활성화된 40-500 sccm의 O2를 주입함으로써 수행된다. 선택적 실시예에서, 질화된 영역은 실리콘 함유 포토레지스트에 Si-N 결합을 형성하기 위해, 암모니아(NH3) 또는 질소 산화물과 같은 질소 플라즈마 예비처리를 이용하여 패터닝된 포토레지스트(240)에 형성된다.
본 발명의 일 실시예에서, BARC(230)는 동작(125)에서 다층 마스크(250)를 추가 한정하도록 플라즈마 에칭된다. 일 실시예에서, BARC(230)는, 제한되는 것은 아니지만, CF4와 같은 가스를 포함하는 종래의 할로겐-기반 화학물로 에칭된다. 일반적으로 할로겐-기반 화학물들은 현상된 층에 비해 상당히 높은 에칭률을 달성하여 유기 BARC에 대해 4:1 이상의 에칭 선택도를 제공한다. 또 다른 실시예에서, BARC(230)의 할로겐-프리 플라즈마 에칭이 사용된다. 유기 BARC의 할로겐-프리 플라즈마 에칭은 비교적 얇은 BARC층들(400Å 정도)을 사용하는 실시예들에 대해 바람직하며, 이는 이후 개시되는 바와 같이, 본 발명의 할로겐-프리 BARC 에칭 실시예들이 앞서 개시된 플라즈마 예비처리(120)와 동시적으로 수행될 수 있기 때문이다. 할로겐-프리 실시예에서, BARC(230)는 O2 플라즈마로 에칭된다. 또 다른 실시예에서, O2 플라즈마는 75W 내지 150W 사이의 바이어스 전력 및 200W 내지 300W 사이의 소스 전력으로 활성화된다(energized). 바이어스 전력은 패터닝된 포토레지스트(240)(예비처리되거나 또는 예비처리되지 않음)에 대한 BARC(230)의 에칭률을 증가시키지만, 300W 이상의 소스 전력은 BARC층의 측방 에칭률을 증가시켜, 패터닝된 포토레지스트(240) 아래에 보다 큰 언더컷을 형성한다. 그러나, 얇은 BARC층들에 대해, 상당량의 BARC 언더컷은 수용될 수 있으며, 이는 하기 개시되는 차후 비정질 탄소 에칭이 상대적으로 비등방성으로, 비정질 탄소층(225)의 측벽이 패터닝 된 포토레지스트(240)의 오버행 측벽을 따를 수 있기 때문이다. 따라서, 비정질 탄소층(225)의 라인 에지 조도(LER) 또는 최소선폭 제어 어느 것도 400Å 정도인 BARC(230)의 언더컷팅에 의해 악영향을 미치지 않는다. 따라서, 일 실시예에서, BARC(230)는 5 내지 25 mTorr의 200mm 챔버에서 75W 내지 150W의 바이어스 전력과 200W 내지 300W의 소스 전력으로 활성화된 25-75 sccm의 O2를 주입함으로써 에칭된다. 기판은 -10℃ 내지 15℃로 냉각된다. 이러한 동작 기간은 BARC(230)의 두께와 관련되나, 일 실시예에서 400Å BARC층이 15초 미만으로 제거된다.
또 다른 실시예에서, BARC층 언더컷은 O2 플라즈마에 N2 및 CO 첨가제 모두의 주입으로 거의 제거된다. CO와 함께 N2의 주입은 O2 대 N2 대 CO의 비율이 대략 1:1:1일 때 BARC(230)에 대한 상당한 측벽 보호를 제공한다. 따라서, 500Å 이상의 상다한 두께의 BARC(230)를 이용하는 실시예에서, 언더컷은 5 내지 25mTorr의 200mm 챔버에서 75W 내지 150W의 바이어스 전력 및 200W 내지 300W의 소스 전력으로 활성화된 1:1:1 내지 1:1:3의 O2:N2:CO 가스 비율 범위로 25-75sccm O2, 25-75sccm N2 및 25-100sccm CO를 주입함으로써 방지된다. 또한, 선택적 실시예에서, 상세히 개시된 N2 소스는 제한되는 것은 아니지만 질소 산화물(NO, N2O, 등) 또는 암모니아(NH3)와 같은 다른 질소 소스로 교체될 수 있다.
본 발명의 또 다른 실시예에서, BARC(230) 및 실리콘을 함유하는 패터닝된 포토레지스트(240)를 모두 사용함으로써, 현상된 층의 플라즈마 예비처리가 유기 BARC 에칭과 동시에 수행된다. 본 실시예에서, 단일 플라즈마 노광은 도 2c에 개시된 구조물을 생성하도록 동작들(120, 125)과 조합된다. 앞서 개시된 바와 같이 패터닝된 포토레지스트(240)에서 실리콘의 산화가 N2 및 CO 첨가물에 의해 방해되기 때문에, 이러한 첨가물을 사용하지 않는 O2 BARC 에칭은 바람직하다. 앞서 주지한 바와 같이, BARC(230)가 약 500Å 이상으로 상당히 두껍지 않다면, BARC(230)의 언더컷은 허용될 수 있다. 비-제로 바이어스 전력은 패터닝된 포토레지스트(240)에서 실리콘의 산화 증가 및 패터닝된 포토레지스트(240)에 대한 BARC(230) 에칭의 선택도 증가를 제공한다. 동시적인 실리콘 포토레지스트 산화 및 BARC(230)의 에칭에 적합한 일 실시예는 200mm 기판을 -10℃ 내지 15℃ 사이로 제어하면서 약 15초 동안 25mTorr에서 100W의 바이어스 전력 및 250W의 소스 전력으로 활성화된 50sccm의 O2를 주입한다. 15초 노광은 패터닝된 포토레지스트(140) 상에 변형된 포토레지스트(245)를 형성하고 대략 400Å 두께의 유기 BARC층을 제거하기에 충분하다.
본 발명의 실시예에서, 동작(130)에서, 비정질 탄소층(225)은 다층 마스크(250)의 형성이 완료되도록 에칭된다. 특정 실시예에서, 에칭은 도 2d에 도시된 다층 마스크를 형성하기 위해 산소(O2), 질소(N2) 및 일산화탄소(CO)를 포함하는 할로겐-프리 화학물을 사용한다. 할로겐-프리 화학물은 패터닝된 포토레지스트(240)에 대해 양호한 선택도(S)를 가지며 양호한 프로파일 제어를 갖는 비정질 탄소막(225)의 평활한 측벽을 제공할 수 있다. 소량의(lean) 화학물은 피쳐 측벽 상의 불균일한 폴리머 증착에 의해 야기될 수 있는 LER 및 폴리머 증착을 감소시킨다. 또한 빈번한 챔버 세정을 감소시켜 장치 생산량을 증가시킨다. 에칭 챔버가 다층 마스크의 피쳐들을 에칭하는데 전용되는 특정 실시예에서, 도 1의 동작들(120, 125, 130)은 챔버 벽들 상에 에칭 시스템에서 전형적인 바람직하지 못한 "챔버 히스토리(chamber history)" 효과를 야기시킬 수 있는 플루오르화 폴리머를 형성하지 않고 수행될 수 있다. 비정질 탄소층의 에칭률은 O2 및 N2 흐름을 증가시킴으로써 극적으로 증가된다. 그러나, 앞서 BARC층 에칭과 관련하여 개시된 것처럼, 패터닝된 포토레지스트(240)에 대한 선택도는 산화된층(245)을 이용하더라도 N2 흐름이 증가될 때 감소한다. 그러나 비정질 탄소층(225)의 에칭 프로파일은 보다 비등방성이 되어 라인 CD 손실은 N2 첨가로 감소된다. CO를 사용하는 실시예들은 비정질 탄소층(225)에서 라인 폭 손실 및 포토레지스트 선택도 간의 이러한 균형을 방지한다. CO 첨가는 N2 보다 상당히 바람직하게 비정질 탄소층의 라인 CD 손실을 완화시킨다. 따라서 패터닝된 포토레지스트(240)에 대한 선택도는 비정질 탄소층(225)에서 주어진 양의 라인 CD 손실을 개선시킬 수 있다. 그러나, CO는 N2에서 보다 느리게 비정질 탄소층(225)을 에칭한다. 따라서, O2, N2 및 CO의 혼합물을 사용하는 실시예들이 바람직하다.
O2:N2:CO 비율은 비정질 탄소층(225)의 프로파일에 영향을 미친다. 예를 들어, 일 실시예에서, 1:1:1의 가스 비율은 거의 수직인 측벽들을 갖는 63nm 라인 폭 을 제공하며 1400Å 두께의 패터닝된 포토레지스트(240)가 리소그래피 노광에 따라 70nm CD를 가질 때 2000Å 비정질 탄소층(225)에 줄무늬를 에칭하지 않는다. O2: CO 비율은 비정질 탄소 라인의 CD를 좁히기 위해 1:1 이상으로 증가될 수 있다. 일 실시예에서, 1:1:1의 O2:N2:CO의 제 1 에칭이 사용되어 비정질 탄소층(225)이 제거되며 이어서, 1:1:0.5의 O2:N2:CO 비율을 이용하는 제 2 에칭이 수행되어 다층 마스크의 거의 수직인 측벽들을 유지하면서 감소된 라인 폭으로 비정질 탄소층(225)이 처리된다. 본 발명의 실시예에서, O2, N2 및 CO 혼합물의 전체 가스 흐름은 10mTorr 내지 200mTorr 사이의 프로세스 압력에서 약 75sccm 내지 200sccm 사이이다. 다시, O2, N2 및 CO 화학물의 반응에 대한 상세 설명은 제한되는 것은 아니지만, 질소 산화물(NO, N2O, 등) 또는 암모니아(NH3)와 같은 다른 질소 소스를 이용하는 선택적 실시예들에 적용될 수 있다.
본 발명의 실시예에서, 비정질 탄소층(225)은 200mm 기판에 대해 150W 내지 300W의 바이어스 전력 및 0W 내지 200W의 소스 전력으로 활성화되는 O2, N2 및 CO를 포함하는 플라즈마로 에칭된다. 소스 전력의 양은 주입되는 CO의 양과 관련된다. 소스 전력의 양 증가는 CO가 주입될 때 비정질 탄소층(225)의 에칭률의 감소를 상쇄시킬 수 있다. 그러나, 200W 이하의 소스 전력을 이용하는 실시예들은 소스 전력 증가는 N2 및 CO 첨가물의 스퍼터링 작용으로 인해 패터닝된 포토레지스트(240)(심지어 실리콘을 포함하는 경우)를 부식시킬 수 있는 경향이 있기 때문에 바 람직하다. 낮은 소스 전력을 이용하는 실시예들에서, CO의 양은 적절한 비정질 탄소 에칭률이 유지되도록 제한된다. 특정 실시예에서, 비정질 탄소층(225)은 25mTorr에서 1:1:1 내지 1:1:3의 비율로 25-100sccm의 O2, 25-100sccm의 N2 및 25-100sccm의 CO를 주입함으로써 에칭되며 5℃ 내지 20℃ 사이로 200mm 웨이퍼를 유지하면서 150W의 바이어스 전력 및 0W의 소스 전력을 이용하여 활성화된다.
본 발명의 할로겐-프리 비정질 탄소층 에칭 화학물은 종래의 패터닝된 포토레지스트(240)에 비해 3:1 이상의 상당히 높은 선택도를 제공한다. 바람직하게 비정질 탄소층 에칭의 O2, N2 및 CO 화학물은 일 실시예에서 높은 소스 전력의 O2 플라즈마에서 먼저 형성되는 변형된 포토레지스트(245)를 유지한다. 동작(120)에서 제 1 높은 소스 전력을 이용하여 포토레지스트의 산화 실리콘에 의해 형성되는 변형된 포토레지스트(245)는 동작(130)에서 낮은 소스 전력에 의해 활성화되는 O2, N2 및 CO 화학물을 견딜 수 있다. 따라서, 패터닝된 포토레지스트(240)의 예비처리 및 O2, N2 및 CO를 이용한 비정질 탄소 에칭 모두를 이용하는 실시예들은 비정질 탄소층(225)에 대해 10:1 내지 100:1의 매우 높은 에칭 선택도(S)를 갖는다.
도 1의 동작(140)에서, 메인 에칭은 본 발명의 실시예에 의해 형성되는 다층 마스크를 사용하여 수행된다. 메인 에칭은 도 2e에 도시된 것처럼, 기판막(220)에 피쳐를 정확하게 전사시키기 위해 다층 마스크를 사용한다. 막에 따라, 다양하게 공지된 에칭 기술들 및 화학물들이 제한되는 것은 아니지만 질화물 또는 산화물 기판막의 불소-기반 플라즈마 에칭과 같은 메인 에칭에 이용될 수 있다. 일 실시예 에서, 기판막은 다층 마스크를 이용하여 80nm 이하의 피쳐로 한정된다. O2, N2 및 CO 화학물로 형성된 것처럼, 비정질 탄소층(225)의 평활한 측벽은 기판막(220)이 감소된 라인 에지 조도(LER)로 에칭되고 높은 정확도로 80nm-이하(sub-80nm) 피쳐를 달성할 수 있게 한다.
마지막으로, 동작(150)에서, 다층 마스크의 각각의 층을 제거하고 도 1의 방법을 수행하기 위해 애싱(ash) 또는 스트립핑 프로세스가 이용된다. 일 실시예에서, 인시튜 애싱 프로세스는 동작들(120, 125, 130, 140)에 이용되는 동일한 에칭 챔버에서 수행된다. 또 다른 실시예에서, O2의 높은 흐름은 바이어스 전력은 150W 미만이면서 적어도 300W인 소스 전력을 이용하여 활성화된다. 높은 소스 전력은 실리콘을 함유한 경우라도, 패터닝된 포토레지스트(240) 뿐만 아니라 BARC(230) 및 비정질 탄소층(225)를 부식시킬 수 있다. 또한 인시튜 애싱에는 차후 기판 상에서 방법(100)을 반복하기 위한 챔버가 준비된다.
일 실시예에서, 방법(100)의 플라즈마 에칭 프로세스는 미국 캘리포니아 어플라이드 머티리얼스사에 의해 제조되는 MxP
Figure 112008020510426-PAT00001
, MxP+TM, Super-ETM 또는 E-MAX
Figure 112008020510426-PAT00002
챔버와 같은 자기적으로 강화된 반응성 이온 에쳐(MERIE) 에칭 챔버에서 수행된다. 업계에 공지된 다른 형태의 고성능 에칭 챔버들, 이를 테면, 마이크로파 또는 전자 사이클로트론 공명(ECR) 기술들을 이용하여 플라즈마가 형성되는 챔버들이 이용될 수 있다. 도 3에는 예시적인 듀얼 주파수 MERIE 시스템(300)의 단면도가 도시된다. 시스템(300)은 접지 챔버(305)를 포함한다. 예시적 시스템에서, 챔버(305)는 약 25리터의 용량을 갖는다. 기판(310)은 개구(315)를 통해 온도 제어 캐소드(320)에 장착 및 고정된다. 프로세스 가스들이 가스 소스들(346, 347, 348)로 부터 각각의 질량 흐름 제어기(349)를 통해 챔버(305)의 내부로 공급된다. 챔버(305)는 터보 분자형 펌프를 포함하는 고용량 진공 펌프 스택(355)에 결합된 배기 밸브(350)를 통해 5mTorr 내지 500mTorr 사이로 배기된다.
RF 전력이 인가될 때, 플라즈마가 챔버 프로세싱 영역(360)에 형성된다. 자성 코일들(340)이 챔버(305)를 둘러싸 0G 내지 100G 사이의 회전 자기장을 제공하여 플라즈마의 밀도를 제어한다. 바이어스 RF 생성기(325)는 캐소드(320)와 결합된다. 바이어스 RF 생성기(325)는 플라즈마를 활성화시키기 위한 바이어스 전력을 공급한다. 통상적으로 바이어스 RF 생성기(325)는 약 4MHz 내지 60MHz 사이의 주파수를 가지며 특정 실시예에서는 13.56MHz의 주파수를 갖는다. 소스 RF 생성기(330)는 플라즈마를 활성화시키기 위한 소스 전력을 제공하도록 캐소드(320)에 대해 양극인 플라즈마 생성 부재(335)와 결합된다. 통상적으로 소스 RF 생성기(330)는 40 내지 180MHz 와 같이, 바이어스 RF 생성기(325) 보다 높은 주파수를 가지며, 특정 실시예에서는 60MHz를 갖는다. 바이어스 전력은 기판(310)의 이온 충돌을 제어하는 기판(310) 상의 바이어스 전압에 영향을 미치는 반면, 소스 전력은 기판(310) 상의 바이어스와 비교적 무관하게 플라즈마 밀도에 영향을 미친다. 주목할 것은 플라즈마가 발생되는 주어진 세트의 입력 가스들의 에칭 성능은 플라즈마 밀도 및 웨이퍼 바이어스에 따라 크게 변해, 플라즈마를 활성화시키는 전력의 양 및 소스 전력 모두가 중요하다는 것이다. 기판 직경이 시간에 따라 150mm, 200mm, 300mm 등으로 처리되기 때문에, 업계에서는 기판 면적에 대해 플라즈마 에칭 시스템의 바이어스 전력 및 소스를 정규화시키는 것이 일반적이다. 본 명세서 전반에서 와트(W)란 부호는 200mm 직경의 둥근 기판을 수용하도록 설계된 시스템과 관련하여 고려되어야 하며 모든 전력은 적절한 기판 크기에 따라 비례축소될 수 있다.
본 발명의 일 실시예에서, 시스템(300)은 바이어스 전력, 소스 전력, 자기장 세기, 가스 흐름, 압력, 캐소드 온도 및 다른 프로세스 파라미터들 제어하기 위해 제어기(370)에 의해 제어되는 컴퓨터이다. 제어기(370)는 다양한 서브프로세서들 및 서브제어기들을 제어하기 위한 산업적 세팅(setting)에 이용될 수 있는 임의의 형태의 범용성 데이터 프로세싱 시스템일 수 있다. 일반적으로, 제어기(370)는 다른 공통 부품들 중에서도 메모리(373) 및 입/출력(I/O) 회로(347)와 통신하는 중앙 처리 유닛(CPU)(372)을 포함한다. CPU(372)에 의해 실행되는 소프트웨어 명령들은 예를 들어, 시스템(300)이 포토레지스트층들에서 실리콘 일부를 산화시키고, BARC층들을 에칭하고, O2, N2 및 CO로 비정질 탄소층들을 에칭하고, 본 발명에 따라 다른 프로세스들을 수행하게 한다. 본 발명의 부분들은 컴퓨터가 포토레지스트층들에서 실리콘 일부를 산화시키고, BARC층들을 에칭하고, O2, N2 및 CO로 비정질 탄소층들을 에칭하고, 본 발명에 따라 다른 프로세스들을 수행하도록 컴퓨터(또는 다른 전자 소자들)를 프로그래밍하는데 이용될 수 있는 명령들이 저장된 컴퓨터-판독가능 매체를 포함할 수 있는 컴퓨터 프로그램 제품으로 제공될 수 있다. 제한되는 것은 아니지만, 컴퓨터-판독가능 매체는 플로피 디스켓, 광학 디스크, CD-ROM(콤팩 디스크 리드-온리 메모리), 및 광자기 디스크, ROM(리드-온리 메모리), RAM(랜덤 액세스 메모리), EPROM(소거가능 프로그램가능 리드-온리 메모리), EEPROM(전기적으로 소거가능한 프로그램가능 리드-온리 메모리), 자성 또는 광학 카드, 플래시 메모리, 또는 전자 명령들을 저장하기에 적합한 다른 공지된 형태의 컴퓨터-판독가능 매체를 포함할 수 있다. 또한, 본 발명은 컴퓨터 프로그램 제품으로 다운로드될 수 있으며, 여기서 프로그램은 원격 컴퓨터에서 요청 컴퓨터로 전송될 수 있다.
본 발명은 구조적 특징 및/또는 방법 동작에 대해 특정하게 설명되었지만, 첨부된 청구항에 한정된 본 발명이 개시된 특정 특징 또는 동작으로 제한될 필요는 없다. 개시된 특정 특징 및 동작은 본 발명을 제한한다기 보다는 설명을 위해 본 발명에서 특정하게 적절히 구현되는 것으로 이해해야 한다.
도 1은 본 발명의 특정 실시예에 따른 다층 마스크를 이용한 피쳐를 에칭하는 방법을 나타내는 흐름도.
도 2a-2e는 본 발명의 실시예에 따른 다층 마스크에서 피쳐를 에칭하는 방법의 단면도들.
도 3은 본 발명의 일 실시예에 따른 방법을 수행하는 플라즈마 에칭 시스템의 단면도.

Claims (15)

  1. 다층 마스크에서 피쳐를 에칭하는 방법으로서,
    패터닝된 포토레지스트층과 비정질 탄소층을 포함하는 다층 마스크를 기판에 제공하는 단계; 및
    O2, N2 및 CO를 포함하는 플라즈마로 상기 비정질 탄소층을 에칭하는 단계
    를 포함하는 피쳐 에칭 방법.
  2. 제 1 항에 있어서,
    상기 O2, N2 및 CO를 포함하는 플라즈마는 200mm 기판에 대해 정규화된 75W 미만의 소스 전력 및 적어도 150W의 바이어스 전력으로 활성화되는(energized) 것을 특징으로 하는 피쳐 에칭 방법.
  3. 제 1 항에 있어서,
    O2:N2:CO 가스 비율은 1:1:1 내지 1:1:3인 것을 특징으로 하는 피쳐 에칭 방법.
  4. 제 1 항에 있어서,
    O2의 흐름은 15 내지 50sccm인 것을 특징으로 하는 피쳐 에칭 방법.
  5. 제 1 항에 있어서,
    상기 비정질 탄소층을 에칭하기 이전에 상기 패터닝된 포토레지스트층에 포함된 실리콘이 산화되도록 O2를 포함하는 플라즈마로 현상된 층을 예비처리하는 단계를 더 포함하는 것을 특징으로 하는 피쳐 에칭 방법.
  6. 제 5 항에 있어서,
    상기 플라즈마는 200mm 기판에 대해 정규화된 150W 미만의 바이어스 전력으로 활성화되는 것을 특징으로 하는 피쳐 에칭 방법.
  7. 제 6 항에 있어서,
    상기 플라즈마는 200mm 기판에 대해 정규화된 200W 내지 400W의 소스 전력으로 활성화되는 것을 특징으로 하는 피쳐 에칭 방법.
  8. 제 7 항에 있어서,
    상기 패터닝된 포토레지스트층은 5 내지 25초 동안 예비처리되는 것을 특징으로 하는 피쳐 에칭 방법.
  9. 다층 마스크에서 피쳐를 에칭하는 방법으로서,
    비정질 탄소층 위에 패터닝된 포토레지스트층을 포함하며 유기 반사-방지 코팅에 의해 분리되는 다층 마스크를 기판에 제공하는 단계 - 상기 패터닝된 포토레지스트층은 실리콘을 포함함 - ;
    상기 패터닝된 포토레지스트층의 실리콘을 산화시키기 위해 제 1 바이어스 전력 및 제 1 소스 전력으로 활성화되는 O2를 포함하는 플라즈마로 상기 패터닝된 포토레지스트층을 예비처리하는 단계; 및
    O2, N2 및 CO를 포함하며 제 2 바이어스 전력 및 제 2 소스 전력으로 활성화되는 플라즈마로 상기 비정질 탄소층을 에칭하는 단계
    를 포함하며, 상기 제 2 소스 전력은 상기 제 1 소스 전력보다 낮은 피쳐 에칭 방법.
  10. 제 9 항에 있어서,
    상기 패터닝된 포토레지스트층의 예비처리 동안 상기 유기 반사-방지 코팅을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 피쳐 에칭 방법.
  11. 제 9 항에 있어서,
    200mm 기판에 대해 정규화된 상기 제 1 바이어스 전력은 150W 미만이고 상기 제 1 소스 전력은 200W 내지 400W인 것을 특징으로 하는 피쳐 에칭 방법.
  12. 제 9 항에 있어서,
    상기 제 1 소스 전력은 상기 제 2 소스 전력보다 큰 것을 특징으로 하는 피쳐 에칭 방법.
  13. 제 12 항에 있어서,
    상기 제 2 소스 전력은 OW인 것을 특징으로 하는 피쳐 에칭 방법.
  14. 데이터-프로세싱 시스템에 의해 실행될 때, 시스템이
    비정질 탄소층 위로 패터닝된 포토레지스트층을 포함하는 다층 마스크를 기판 상에 제공하는 단계;
    O2, N2 및 CO를 포함하는 플라즈마로 상기 비정질 탄소층을 에칭하는 단계
    를 포함하는 방법을 수행하게 하는, 기계-실행가능 명령 세트가 저장된 컴퓨터-판독가능 매체.
  15. 제 14 항에 있어서,
    데이터-프로세싱 시스템에 의해 실행될 때, 시스템이,
    상기 비정질 탄소층을 에칭하기 이전에 상기 패터닝된 포토레지스트층에 포함된 실리콘을 산화시키도록 O2를 포함하는 플라즈마로 상기 패터닝된 포토레지스트층을 예비처리하는 단계를 더 포함하는 방법을 수행하게 하는, 기계-실행가능 명령 세트를 포함하는 것을 특징으로 하는 컴퓨터-판독가능 매체.
KR1020080026194A 2007-03-21 2008-03-21 포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리비정질 탄소 마스크 KR20080086385A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/689,389 2007-03-21
US11/689,389 US7807064B2 (en) 2007-03-21 2007-03-21 Halogen-free amorphous carbon mask etch having high selectivity to photoresist

Publications (1)

Publication Number Publication Date
KR20080086385A true KR20080086385A (ko) 2008-09-25

Family

ID=39462093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080026194A KR20080086385A (ko) 2007-03-21 2008-03-21 포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리비정질 탄소 마스크

Country Status (7)

Country Link
US (1) US7807064B2 (ko)
EP (1) EP1973148A3 (ko)
JP (1) JP2008263186A (ko)
KR (1) KR20080086385A (ko)
CN (1) CN101320224A (ko)
SG (1) SG146578A1 (ko)
TW (1) TW200905726A (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007100849A2 (en) 2006-02-27 2007-09-07 Microcontinuum, Inc. Formation of pattern replicating tools
KR100976647B1 (ko) * 2007-04-25 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
KR100919350B1 (ko) * 2008-04-24 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
EP2144117A1 (en) * 2008-07-11 2010-01-13 The Provost, Fellows and Scholars of the College of the Holy and Undivided Trinity of Queen Elizabeth near Dublin Process and system for fabrication of patterns on a surface
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
EP2525416A2 (en) * 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
CN102354669B (zh) * 2011-10-25 2013-02-27 上海华力微电子有限公司 硅纳米线器件的制作方法
CN103137443B (zh) * 2011-11-25 2015-11-25 中芯国际集成电路制造(上海)有限公司 无定形碳硬掩膜层的形成方法及刻蚀方法
US9589797B2 (en) * 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
SG11201600440VA (en) * 2013-11-06 2016-02-26 Mattson Tech Inc Novel mask removal process strategy for vertical nand device
CN105355538A (zh) * 2014-08-21 2016-02-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法
US9455135B2 (en) 2014-12-07 2016-09-27 United Microelectronics Corp. Method for fabricating semiconductor device
US10049875B2 (en) 2016-03-04 2018-08-14 Tokyo Electron Limited Trim method for patterning during various stages of an integration scheme
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
CN110088882B (zh) 2016-12-14 2023-05-26 玛特森技术公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
CN107968094A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种用于3d nand闪存的台阶结构成形工艺
US10811270B2 (en) * 2019-03-15 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra narrow trench patterning using plasma etching

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387819B1 (en) * 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6541361B2 (en) 2001-06-27 2003-04-01 Lam Research Corp. Plasma enhanced method for increasing silicon-containing photoresist selectivity
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6900123B2 (en) 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7572386B2 (en) * 2006-08-07 2009-08-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process

Also Published As

Publication number Publication date
JP2008263186A (ja) 2008-10-30
EP1973148A3 (en) 2009-10-14
SG146578A1 (en) 2008-10-30
US20080230511A1 (en) 2008-09-25
TW200905726A (en) 2009-02-01
CN101320224A (zh) 2008-12-10
US7807064B2 (en) 2010-10-05
EP1973148A2 (en) 2008-09-24

Similar Documents

Publication Publication Date Title
KR20080086385A (ko) 포토레지스트에 대해 높은 선택비를 갖는 할로겐-프리비정질 탄소 마스크
US7838432B2 (en) Etch process with controlled critical dimension shrink
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR101318898B1 (ko) 탄소계 하드마스크를 개방하기 위한 프로세스
KR101019928B1 (ko) 황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법
US7977390B2 (en) Method for plasma etching performance enhancement
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR101772701B1 (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 컴퓨터 기억 매체
KR20050028781A (ko) 선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍공정의 임계크기 미세로딩을 제어하는 방법
CN105914144B (zh) 蚀刻方法
US8642482B2 (en) Plasma etching method, control program and computer storage medium
TWI692809B (zh) 蝕刻方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN105810582B (zh) 蚀刻方法
US6121154A (en) Techniques for etching with a photoresist mask
JP2000091318A (ja) 半導体装置の製造方法
US6746925B1 (en) High-k dielectric bird's beak optimizations using in-situ O2 plasma oxidation
KR20220030906A (ko) 선택적 이방성 금속 에칭
KR101097025B1 (ko) 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체
CN105810579B (zh) 蚀刻方法
TWI837338B (zh) 基板處理方法及基板處理裝置
US20240162043A1 (en) Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification
JP4378234B2 (ja) エッチング方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid