CN101320224A - 对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法 - Google Patents

对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法 Download PDF

Info

Publication number
CN101320224A
CN101320224A CNA2008100951923A CN200810095192A CN101320224A CN 101320224 A CN101320224 A CN 101320224A CN A2008100951923 A CNA2008100951923 A CN A2008100951923A CN 200810095192 A CN200810095192 A CN 200810095192A CN 101320224 A CN101320224 A CN 101320224A
Authority
CN
China
Prior art keywords
amorphous carbon
plasma
carbon layer
source power
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008100951923A
Other languages
English (en)
Inventor
金钟穆
王竹戌
阿杰·M·乔希
景宝·刘
布赖恩·Y·浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101320224A publication Critical patent/CN101320224A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明提供一种对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法。在本发明一个实施例中,使用无卤素等离子体蚀刻工艺在包含无定形碳层的多层堆叠掩膜中定义特征。在特定实施例中,应用氧气(O2)、氮气(N2)和一氧化碳(CO)蚀刻无定形碳层,以形成能够在衬底薄膜上制造具有较小线边缘粗糙度的亚-100nm特征的掩膜。在另一个实施例中,本发明在无卤素无定形碳蚀刻前使用O2等离子体预处理,在图案化光刻胶层中先形成一个氧化硅区域,以提高相对于包含非氧化硅的图案化光刻胶层的无定形碳蚀刻选择比。

Description

对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法
技术领域
本发明涉及电子制造业,尤其是在多层堆叠掩膜中蚀刻特征的工艺。
背景技术
随着器件特征的特征尺寸小于100nm,特征的临界尺寸(CD)要求成为衡量器件稳定性和可再现性的更重要的标准。在给定光学透明度下,光刻胶薄膜必须更薄以更好的分解,因此在无硬掩膜的情况下,很难得到足够的蚀刻电阻。特征侧壁的条纹是CD变化的主要来源之一。一般认为,当蚀刻衬底形成特征时,条纹从掩膜的侧壁开始出现并且向下延伸入衬底。条纹的出现是光刻工艺和蚀刻工艺的共同结果。由显影过程中由于酸的扩散引起的最初线边缘粗糙度(LER)在蚀刻过程中加重。通过特征俯视图进行的LER测量条纹被标准量化。而且,力学柔软度、形成侧壁的粗糙度和193nm ArF光刻胶(PR)的厚度降低一起使蚀刻出无条纹的亚-100nm特征很困难。
为了得到较小的LER值,需要使用更大蚀刻电阻和/或更厚的掩膜材料,或改善蚀刻工艺的选择比。前一种选择增加了制造步骤,导致每个晶片的更高成本和复杂集成问题。然而,后一种选择代表性地表现出几个蚀刻工艺局限性,例如由对CD控制存在负面影响的不规则聚合体沉积导致的严重负载效应和LER的进一步增加。
发明内容
在本发明的一个实施例中,使用无卤素等离子蚀刻工艺在包括无定形碳层的多层堆叠掩膜中定义特征。在特定实施例中,利用氧气(O2)、氮气(N2)、和一氧化碳(CO)蚀刻无定形碳层以形成能够在衬底薄膜中制造具有低的线边缘粗糙度值的亚-100nm特征的掩膜。在另一个实施例中,本发明在无卤素无定形碳蚀刻前使用O2等离子体预处理以在图案化光刻胶层中第一次形成氧化硅区域来增加相对于包括非氧化硅的图案化光刻胶的无定形碳蚀刻的选择比。在进一步实施例中,O2等离子体预处理也图案化覆盖无定形碳层的有机抗反射涂层以形成用于在电介质薄膜中蚀刻具有低线边缘粗糙度值的亚-100nm特征的多层掩膜。
附图说明
图1是描述了通过根据本发明的特定实施例的多层掩膜蚀刻特征的方法的流程图。
图2A-2F是在根据本发明的一个实施例的多层掩膜中蚀刻特征的方法的截面示意图。
图3是用于执行根据本发明的一个实施例的方法的等离子蚀刻系统的截面示意图。
具体实施方式
在不同实施例中,参考附图描述了新的衬底处理方法。然而,即使缺少一个或多个这些具体细节,或者结合其它已知的方法、材料和设备不同的实施例也可以实施。在下面的描述中,为了提供对于本发明的完整理解提出很多具体细节,例如具体材料、尺寸和工艺参数等。在其它例子中,为了不使本发明变得晦涩,没有细节描述众所周知的半导体工艺和制造技术。在整个说明书中引用“一个实施例”意味着在本发明的至少一个实施例中包含该实施例中所描述的特定的特征、结构、材料或特性。在本说明书的不同地方出现短语“在一个实施例中”不必全部指同一个实施例。而且,在一个或多个实施例中特定的特征、结构、材料或特性可以合并在任何合适的方式中。
图1示出了根据本发明的一个实施例蚀刻特征的方法100的流程图。在制造过程中,方法100在衬底上执行。图2A-2F示出了具有根据图1所示的实施例制造的特征的衬底的截面图。图3示出了用于本发明的实施例的等离子蚀刻系统300。
图1中的方法100从支撑210上的衬底薄膜220(图2A)开始。在一个实施例中,支撑210是半导体晶片,例如,但是不局限于硅、锗或通常所知的III-V族化合物半导体材料。在另一个实施例中,支撑210是玻璃或蓝宝石材料。衬底薄膜220一般包含电介质层。在一个实施例中,电介质层是氮化物薄膜,而在另一个实施例中电介质层是硅的氧化物。然而,应该知道衬底薄膜220可以是包含本领域通常所知的各种其它材料的多层结构,例如,但是不局限于硅的氧化物、低-k材料和金属。
在一个实施例中,在操作105中,无定形碳层225形成在衬底层220上。无定形碳材料可以是从Applied Materials,Inc.,CA,U.S.A.获得,商品名称为Advanced Patterning FilmTM(APF)。无定形碳层225形成多层掩膜的第一层。无定形碳层225不具有光敏性而替换地由等离子蚀刻定义以高精度地再现上面的光敏层特征。而无定形碳层225给很像传统硬掩膜(使用硅的氮化物或氧化物)的掩膜增加了额外的厚度,这是有利的,因为使用与移除光刻胶一样的方法很容易移除无定形碳层225,例如,O2等离子体灰化。因此,由于不需要额外的掩膜移除操作,相对于传统的硬掩膜工艺,工艺复杂度降低了。在一个实施例中,无定形碳层是通过PECVD工艺形成的厚度在100
Figure A20081009519200061
和3000
Figure A20081009519200062
之间的无机碳材料。在特定实施例中,层225的厚度大约为2000以增加衬底薄膜220上的掩膜的总厚度,而不需要用于定义整个掩膜厚度的光刻工艺。无定形碳层也可以包括氮或其它添加剂。额外地,无定形碳层也可以被无机抗反射涂层(ARC)(未示出)覆盖,其通常由SiON构成。
图2B描绘了光刻操作110(图1)之后的多层掩膜250。在一个实施例中,在操作110过程中,施加了底部抗反射涂层(BARC)230。BARC230用于在光刻胶层的图案化过程中减少光的反射。BARC 230对于薄硅光刻胶涂层也是有用的,这是因为BARC 230增加了掩膜的厚度以改善衬底薄膜220蚀刻过程中蚀刻电阻的。BARC 230典型地是有机材料,例如,但不局限于聚酰胺和聚砜。一般地,BARC 230的最小厚度需要阻挡用于曝光的光。对于193nm应用,对于各种通常所知的有机BARC表达式,这个厚度为400
Figure A20081009519200064
数量级。通常不需要BARC 230和无定形碳层225上的ARC层(未示出)同时存在,但是尽管这样也可以实施。
在操作110过程中,使用通常所知的技术覆盖、曝光和显影光敏层(光刻胶)以形成无定形碳层225上的图案化光刻胶240。在一个实施例中(未示出),未应用BARC 230,并且图案化光刻胶240涂覆在无定形碳层225(或无机ARC)上。在另一个实施例中,如图2B所示,图案化光刻胶240通过BARC 230与无定形碳层225隔开。图案化光刻胶240和BARC 230通常被称为“双层”光刻胶。在一个实施例中,图案化光刻胶240形成具有低于100nm的临界尺寸的特征。在特定实施例中,图案化光刻胶240形成具有大约70nm和80nm之间的临界尺寸的特征。
在一个实施例中,图案化光刻胶240是厚度在2000至6000
Figure A20081009519200072
之间的传统的丙烯酸盐合成物。在一个替换实施例中,图案化光刻胶240是基于硅氧烷和硅倍半氧烷(SSQ)的。在特定实施例中,图案化光刻胶240包含大约15%(原子)硅,例如JSR EBXTM系列,可以从JSR MicroKyushu Co.,Ltd.Kyushu,Japan获得。另一种硅光刻胶是FujiFilm TIS-2000TM,可以从FUJIFILM Electronic Materials U.S.A.,Inc.RI,U.S.A.获得。在进一步的实施例中,包含硅的图案化光刻胶240的厚度在1000
Figure A20081009519200073
至2000之间。
因此,图1中的操作110完成之后,包括覆盖在未图案化的无定形碳层225的一层图案化光刻胶240的多层堆叠掩膜形成在衬底薄膜220上。在进一步实施例中,如图2B所示,多层堆叠掩膜250包括将一层图案化光刻胶240和未图案化无定形碳层225分隔开的未图案化的有机BARC230。
操作120中,在定义无定形碳层225之前,本发明的特定实施例包括图案化光刻胶240的等离子预处理以氧化或氮化包含在图案化光刻胶240中的一部分硅。如图2C所示,光刻胶硅部分(moiety)的氧化或氮化形成图案化光刻胶240中的改性光刻胶245。在利用下文详细描述的方法后续蚀刻无定形碳层225的过程中,改性光刻胶245具有比未处理的图案化光刻胶240低的蚀刻速率。该低蚀刻速率降低了无定形碳层225和最终的衬底薄膜220中的线边缘粗糙度。改性光刻胶245的低蚀刻速率增加了无定形碳层225的后续蚀刻的选择比(S)。选择比(S)定义为将被蚀刻的薄膜的蚀刻速率与掩膜薄膜的蚀刻速率的比。在下面详细描述的特定实施例中,相对于改性光刻胶245,无定形碳蚀刻的选择比(S)在10∶1至100∶1之间。高选择比(S)允许多层掩膜250中的无定形碳层225的厚度增加以减少衬底薄膜220的主蚀刻的限制和改善侧壁光滑度。
在一个实施例中,改性光刻胶245通过等离子氧化图案化光刻胶240中的硅形成。形成Si-O键的硅部分氧化是与光刻胶中有机部分氧化竞争的机制。与未形成有益的改性光刻胶245的典型光刻胶修整工艺相同,利于有机态氧化的条件导致图案化光刻胶240的临界尺寸减小。在利于光刻胶中硅态氧化的条件下,图案化光刻胶240的临界尺寸减小得很小而改性光刻胶245的厚度增加。后面的条件改善后续无定形碳层225的蚀刻选择比(S)且没有不期望的线临界尺寸(CD)损失。
在一个实施例中,应用大于大约40-50标准立方厘米每分钟(sccm)的O2流量以使引起的图案化光刻胶240中的CD损失比气流速率低于这个限值的情况小。O2流量大于40-50sccm的实施例表现出相对恒定的CD损失。在一个实施例中,由于非氧化添加剂阻止改性光刻胶245的形成,因此无添加剂,例如N2或CO,被引入O2等离子体,导致无定形硅层225的后续蚀刻相对于图案化光刻胶240具有较低选择比。N2和CO添加剂被认为会降低等离子体的氧化反应也会增加趋向溅射远离改性光刻胶245和斜切图案化光刻胶240的中心的离子轰击。
在进一步实施例中,应用的源功率大于200W。低于200W限值的源功率不足以氧化图案化光刻胶240中的硅以形成改性光刻胶245。因此,高于这个限值的源功率得到更好的选择比改善。在进一步实施例中,应用的偏置功率在50W至200W之间。更高的偏置功率也可以增加图案化光刻胶240中氧化的总量,但是缺点是增加了图案化光刻胶240的临界尺寸(CD)的损失。
这样,在一实施例中,在保持为10至200mTorr的200mm腔室中,当衬底被冷却在-10℃至20℃之间时,通过引入40-500sccm的氧气、激励为50W至200W之间的偏置功率和250W至500W之间的源功率的条件下,执行氧等离子体预处理。在替换实施例中,使用氮等离子体预处理在图案化光刻胶240中形成氮化区域,例如氨(NH3)和氮氧化物,以在包含硅的光刻胶中形成Si-N键。
在本发明的一个实施例中,操作125中等离子蚀刻BARC 230以进一步定义多层掩膜250。在一个实施例中,通过包括气体例如,但不局限于,CF4的传统的基于卤素的化学反应蚀刻BARC 230。基于卤素的化学反应一般可以得到相对于形成层合理高的蚀刻速率,提供对于有机BARC大于4∶1的蚀刻选择比。在另一个实施例中,应用无卤素等离子蚀刻BARC 230。有机BARC的无卤素等离子蚀刻对应用相对较薄的BARC层(数量级为400)的实施例有益,如下面进一步讨论的,因为本发明的无卤素BARC蚀刻实施例可以与上述等离子体预处理120同时进行。在一个无卤素实施例中,通过O2等离子体蚀刻BARC 230。在进一步实施例中,O2等离子体由75W至150W之间的偏置功率和200W至300W之间的源功率激励。偏置功率增加BARC 230相对于图案化光刻胶240(预处理过或未预处理)的蚀刻速率,而高于300W的源功率增加BARC层的横向蚀刻速率,可得到图案化光刻胶240下更好的底切。然而,对于薄的BARC层,由于下面描述的后续无定形碳蚀刻是相对各向异性的,使无定形碳层225的侧壁沿着图案化光刻胶240突出的侧壁,因此可以承受很大的BARC底切。这样,无定形碳层225的线边缘粗糙度(LER)和临界尺寸控制都不会受到基于数量级为400的BARC 230的逆向影响。因此,在一个实施例中,在5至25mTorr的200mm腔室中引入25-75标准立方厘米每分钟(sccm)的O2,激励为75W至150W之间的偏置功率和200W至300W之间的源功率的条件下蚀刻BARC 230。衬底冷却在-10℃至15℃之间。这步操作的持续时间取决于BARC 230的厚度,但是,在一个实施例中,400
Figure A20081009519200093
的BARC层在15秒内被清除。
在另一个实施例中,通过在O2等离子体中引入N2和CO添加剂,BARC层的底切可以几乎完全被清除。当O2、N2与CO的比大约为1∶1∶1时,引入的N2和CO提供显著的BARC 230侧壁保护。这样,在BARC230厚度远远大于500
Figure A20081009519200094
的实施例中,在5至25mTorr的200mm腔室中,通过引入25-75sccm的O2和25-75sccm的N2和25-100sccm的CO,且O2∶N2∶CO气体比介于1∶1∶1和1∶1∶3之间,激励为75W至150W之间的偏置功率和200W至300W之间的源功率的条件下,底切被避免。而且,从替换实施例中可以看出,这里详细描述的N2源可以用其它氮气源替换,例如,但是不局限于氮氧化物(NO、N2O等)或氨(NH3)。
在本发明的进一步实施例中,应用BARC 230和包含硅的图案化光刻胶240,形成层的等离子预处理与有机BARC蚀刻同时执行。在这个实施例中,单一等离子体曝光与操作120和125结合,以制造如图2C所示的结构。由于如上所述的N2和CO添加剂阻止了图案化光刻胶240中硅的氧化,没有这些添加剂的O2 BARC蚀刻是有益的。如上面指出的,只要BARC 230没有比大约500
Figure A20081009519200101
厚很多,BARC 230的底切可以承受。非零偏置功率增大了相对于图案化光刻胶240的BARC 230蚀刻选择以及还增大了图案化光刻胶240中的硅的氧化。一个适于同时进行硅光刻胶氧化和BARC 230蚀刻的实施例,引入50sccmO2,激励为100W的偏置功率和250W的源功率,在25mTorr下大约15秒,同时200mm的衬底控制在-10℃至15℃之间。15秒的曝光足以在图案化光刻胶240上形成改性光刻胶245和清除厚度大约为400
Figure A20081009519200102
的有机BARC。
在本发明的一个实施例中,在操作130中,蚀刻无定形碳层225以完成多层掩膜250的定义。在特定实施例中,蚀刻采用包括氧气(O2)、氮气(N2)和一氧化碳(CO)的无卤素化学反应以定义如图2D所示的多层掩膜。无卤素化学反应对图案化光刻胶240具有好的选择比(S),并且可以通过好的剖面控制在无定形碳层225中提供光滑的侧壁。斜向化学反应可降低由特征侧壁上非均衡聚合体的沉积引起的聚合体沉积和LER。这也可以使室清洗频率降低,增加设备的生产率。在特定实施例中,其中具有用于在多层掩膜中蚀刻特征的蚀刻室,不在室壁上形成会导致蚀刻系统中典型的不期望的“室历史”效应的氟化聚合体的条件下,执行图1中的操作120、125和130。随着O2和N2流量的增加,无定形碳层的蚀刻速率显著增加。然而,如前面所述的BARC层蚀刻,即使具有氧化层245,当N2流量的增加时对图案化光刻胶240的选择比降低。然而,当具有添加剂N2时,无定形碳层225的蚀刻剖面变得更加各向异性并且线CD损失降低。应用CO的实施例避免了上述问题,平衡无定形碳层225中的光刻胶选择比和线宽损失。CO添加剂在无定形碳层中的避免线CD损失的能力远远大于N2。因此在给定的无定形碳层225中的线CD损失下,可以改善图案化光刻胶240的选择比。然而,CO蚀刻无定形碳层225的速度比N2慢。因此,应用O2、N2和CO混合气体的实施例是优选的。
O2∶N2∶CO的比率影响无定形碳层225的剖面。例如,在一个实施例中,当1400
Figure A20081009519200111
厚的图案化光刻胶240对光刻曝光具有70nmCD时,1∶1∶1的气体比可以提供具有几乎垂直侧壁的63nm线宽和在2000
Figure A20081009519200112
无定形碳层225中无蚀刻条纹。O2∶CO的比率可以增加至大于1∶1以减小无定形碳线的CD。在一个实施例中,应用1∶1∶1的O2∶N2∶CO的第一次蚀刻以清洗无定形碳层225,接下来应用比率为1∶1∶0.5的O2∶N2∶CO的第二次蚀刻修整无定形碳层225以降低线宽而在多层掩膜中保持几乎垂直的侧壁。在本发明的一个实施例中,当工艺压力在10mTorr至200mTorr之间时,O2、N2和CO混合气体的总气体流量在大约75sccm至200sccm之间。此外,应该理解O2、N2和CO化学反应行为的上述详细描述也适用于应用其他氮气源的实施例,例如,但是不局限于氮氧化物(NO、N2O等)或者氨(NH3)。
在本发明的一个实施例中,对于200mm衬底,通过激励为150W至300W之间的偏置功率和0W至200W之间的源功率,包括O2、N2和CO的等离子体蚀刻无定形碳层225。源功率的值依赖于引入的CO的量。增加源功率的值可以补偿引入CO时无定形碳层225蚀刻速率的降低。然而,源功率小于200W的实施例是优选的这是因为增加源功率会由于N2和CO添加剂的溅射反应而腐蚀图案化光刻胶240(即使包含硅)。低源功率的实施例中,CO的量被限制因此可以保持较好的无定形碳蚀刻速率。在特定实施例中,通过在25mTorr下引入比率为1∶1∶1至1∶1∶3之间25-100sccmO2、25-100sccmN2和25-100sccmCO,激励为150W的偏置功率和0W的源功率,而200mm衬底保持在5℃至20℃之间的条件下蚀刻无定形碳层225。
本发明的无卤素无定形碳层蚀刻化学反应相对于传统图案化光刻胶240提供大于3∶1的合理高的选择比。无定形碳层蚀刻的O2、N2和CO化学反应有利于保留改性光刻胶245,其在一个实施例中,在较高源功率的O2等离子体中第一次形成。在操作120中利用第一高源功率氧化光刻胶中的硅而形成的改性光刻胶245可以承受操作130中由低源功率激励的O2、N2和CO化学反应。这样,采用图案化光刻胶240预处理和使用O2、N2和CO的无定形碳蚀刻的实施例具有很高的蚀刻选择比(S),受益于无定形碳层225其在10∶1至100∶1之间。
在图1所示的操作140中,利用本发明的实施例定义的多层掩膜执行主蚀刻。如图2E所示,主蚀刻使用多层掩膜以精确地转移特征到衬底薄膜220中。根据薄膜,各种已知的蚀刻技术和化学反应可以被应用于主蚀刻,例如,但是不局限于,氮化或氧化衬底薄膜的基于氟的等离子蚀刻。在一个实施例中,利用多层掩膜在衬底薄膜中定义小于80nm的特征。通过O2、N2和CO化学反应形成的无定形碳层225的光滑的侧壁使衬底薄膜220蚀刻具有低的线边缘粗糙度(LER)并且获得具有高精度的亚-80nm特征。
最后,在操作150中,使用灰化或剥离工艺以移除多层掩膜的每一层并结束图1中的方法100。在一个实施例中,在操作120、125、130和140使用的同一蚀刻室中执行原地灰化工艺。在进一步实施例中,由至少300W源功率而低于150W的偏置功率激励高流量O2。高源功率会腐蚀即使包含硅的图案化光刻胶240,还有BARC 230和无定形碳层225。原地灰化也为在后续衬底上重复方法100准备好室。
在一个实施例中,方法100中的等离子蚀刻工艺在磁强化活性离子蚀刻(MERIE)的蚀刻室中执行,例如由Applied Materials Inc.,CA,U.S.A.制造的MxP
Figure A20081009519200121
、MxP+TM、Super-ETM或E-MAX
Figure A20081009519200122
室。也可以使用本领域中已知的其他种类的高性能蚀刻室,例如,使用微波或电子回旋共振(ECR)技术形成等离子体的室。图3示出了示例性双频MERIE系统300的截面图。系统300包括接地室305。在一个示例系统中,室305具有大约25公升的容量。衬底310通过开口315装载,并且夹于温度控制阴极320上。由气体源346、347和348提供的处理气体通过各自的密相控制器349进入室305内。室305通过连接至包括涡流分子泵的高容量真空泵堆355的耗尽阀350抽空至5mTorr和500mTorr之间。
当施加RF功率时,在室处理区域360形成等离子体。围绕室305的电磁线圈340提供0G至100G之间的旋转磁场以控制等离子体密度。偏置RF产生器325耦合于阴极320。偏置RF产生器325提供偏置功率以激励等离子体。偏置RF产生器325典型具有大约4MHz至60MHz的频率,并且在特定实施例中,是13.56MHz。源RF产生器330耦合于等离子产生元件335,其为相对于阴极320的阳极,以提供激励等离子体的源功率。源RF产生器330通常具有高于偏置RF产生器325的频率,例如40MHz至180MHz之间,并且在特定实施例中,是60MHz。偏置功率影响衬底310上的偏置电压,控制衬底310的离子轰击,而源功率影响等离子体密度相对独立于衬底310上的偏置。应当注意到,产生等离子体的给定的输入气体的蚀刻性能根据等离子体密度和晶片偏置不同有很大变化,这样激励等离子体的功率的量和源都非常重要。由于衬底的直径随着时间而发展,有150mm、200mm、300mm等,本领域中一般技术人员公知,相对于衬底面积规范化等离子蚀刻系统中的源和偏置功率。整个说明书中,参照瓦特(W)值上下文中的系统应该被认为是适合于直径为200mm的圆衬底,因此所有功率可以针对适当的衬底尺寸按比例变化。
在本发明的一个实施例中,系统300通过控制器370计算机控制以控制偏置功率、源功率、磁场强度、气流、压力、阴极温度以及其他工艺参数。控制器370可以是可被用于工业设备以控制不同子处理器和子控制器的通用的数据处理系统中的任何一种。一般地,控制器370包括与存储器373和输入/输出(I/O)电路374通信的,被其他共同元件围绕的中央处理单元(CPU)372。软件命令由CPU372执行,例如,使系统300氧化光刻胶中的硅部分、蚀刻BARC层、利用O2、N2和CO蚀刻无定形碳层,还有执行根据本发明的其他工艺。本发明的一部分可以作为计算机程序产品提供,其可以包括其上存储有指令的计算机可读媒介,其也可以用于程序化计算机(或其他电子器件)以氧化光刻胶中的硅部分、蚀刻BARC层、利用O2、N2和CO蚀刻无定形碳层,还有执行根据本发明的其他工艺。计算机可读媒介可包括,但不局限于,软盘、光盘、CD-ROM(只读存储光盘)、和磁光盘、ROM(只读存储器)、RAM(随机访问存储器)、EPROM(可擦可编程只读存储器)、EEPROM(电可擦可编程只读存储器)、磁卡或光卡、闪存、或适合于存储电子指令的其他通常所知计算机可读媒介类型。而且,本发明也可以作为计算机程序产品下载,其中程序可以从远程计算机传送至请求计算机。
尽管本发明详尽地描述了结构特征和/或方法动作,应该理解附加的权利要求定义的本发明不局限于描述的具体特征或动作。公开的特定的特征和动作应该被理解为是为了尽力举例说明更好的实现要求的发明而不是限制本发明。

Claims (20)

1.一种在多层掩膜中蚀刻特征的方法,包括:
提供具有包括图案化光刻胶层和无定形碳层的多层掩膜的衬底;
使用包含O2、N2和CO的等离子体蚀刻无定形碳层。
2.根据权利要求1所述的方法,其中对于200mm衬底的规格,包含O2、N2和CO的等离子体由低于75W的源功率和至少为150W的偏置功率激励。
3.根据权利要求1所述的方法,其中O2∶N2∶CO的气体比在1∶1∶1至1∶1∶3之间。
4.根据权利要求1所述的方法,其中O2流量在15至50sccm之间。
5.根据权利要求1所述的方法,其中无定形碳层是Advance PatterningFilmTM
6.根据权利要求1所述的方法,还包括:
在蚀刻无定形碳层之前使用包含O2的等离子体预处理形成层以氧化包含在图案化光刻胶层中的硅。
7.根据权利要求6所述的方法,其中对于200mm衬底的规格,等离子体由低于150W的偏置功率激励。
8.根据权利要求7所述的方法,其中按照200mm衬底的规格,等离子体由在200W到400W之间的源功率激励。
9.根据权利要求8所述的方法,其中图案化光刻胶层被预处理5到25秒。
10.一种在多层掩膜中蚀刻特征的方法,包括:
提供具有包括在无定形碳层之上由有机抗反射涂层分隔的图案化光刻胶层的多层掩膜的衬底,其中图案化光刻胶层包含硅;
使用第一偏置功率和第一源功率激励包含O2的等离子体预处理图案化光刻胶层以氧化图案化光刻胶层中的硅;
使用第二偏置功率和第二源功率激励包含O2、N2和CO的等离子体蚀刻无定形碳层,其中第二源功率低于第一源功率。
11.根据权利要求10所述的方法还包括:
在预处理图案化光刻胶层过程中,刻穿有机抗反射涂层。
12.根据权利要求10所述的方法,其中对于200mm衬底的规格,第一偏置功率低于150W而第一源功率在200W到400W之间。
13.根据权利要求10所述的方法,其中对于200mm衬底的规格,第二偏置功率至少为200W。
14.根据权利要求10所述的方法,其中第一源功率大于第二源功率。
15.根据权利要求14所述的方法,其中第二源功率为0W。
16.根据权利要求10所述的方法,还包括:
使用包含卤素的等离子体蚀刻电介质薄膜;且
使用第三偏置功率和第三源功率激励包含O2的等离子体灰化多层掩膜的大部分。
17.根据权利要求16所述的方法,其中对于200mm衬底的规格,第三偏置功率低于150W而第三源功率至少为300W。
18.一种其上存储有一套机器可执行指令的计算机可读媒介,当通过数据处理系统执行时,使系统执行的方法包括:
提供具有包含无定形碳层上的图案化光刻胶层的多层掩膜的衬底;并且
使用包含O2、N2和CO的等离子体蚀刻无定形碳层。
19.根据权利要求18所述的计算机可读媒介,其中使用0W的源功率蚀刻无定形碳层。
20.根据权利要求18所述的计算机可读媒介,包含一套机器可执行指令,当通过数据处理系统执行时,使系统执行的方法还包括:
在蚀刻无定形碳层之前使用包含O2的等离子体预处理图案化光刻胶层以氧化包含在图案化光刻胶层中的硅。
CNA2008100951923A 2007-03-21 2008-03-20 对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法 Pending CN101320224A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/689,389 2007-03-21
US11/689,389 US7807064B2 (en) 2007-03-21 2007-03-21 Halogen-free amorphous carbon mask etch having high selectivity to photoresist

Publications (1)

Publication Number Publication Date
CN101320224A true CN101320224A (zh) 2008-12-10

Family

ID=39462093

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008100951923A Pending CN101320224A (zh) 2007-03-21 2008-03-20 对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法

Country Status (7)

Country Link
US (1) US7807064B2 (zh)
EP (1) EP1973148A3 (zh)
JP (1) JP2008263186A (zh)
KR (1) KR20080086385A (zh)
CN (1) CN101320224A (zh)
SG (1) SG146578A1 (zh)
TW (1) TW200905726A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908480A (zh) * 2009-06-04 2010-12-08 株式会社日立制作所 半导体器件的制造方法
CN102354669A (zh) * 2011-10-25 2012-02-15 上海华力微电子有限公司 硅纳米线器件的制作方法
CN103137443A (zh) * 2011-11-25 2013-06-05 中芯国际集成电路制造(上海)有限公司 无定形碳硬掩膜层的形成方法及刻蚀方法
CN105355538A (zh) * 2014-08-21 2016-02-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法
CN107968094A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种用于3d nand闪存的台阶结构成形工艺
CN108885977A (zh) * 2016-03-04 2018-11-23 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法
CN111696859A (zh) * 2019-03-15 2020-09-22 台湾积体电路制造股份有限公司 使用等离子体刻蚀进行超窄沟道图案化

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007100849A2 (en) 2006-02-27 2007-09-07 Microcontinuum, Inc. Formation of pattern replicating tools
KR100976647B1 (ko) * 2007-04-25 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
KR100919350B1 (ko) * 2008-04-24 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
EP2144117A1 (en) * 2008-07-11 2010-01-13 The Provost, Fellows and Scholars of the College of the Holy and Undivided Trinity of Queen Elizabeth near Dublin Process and system for fabrication of patterns on a surface
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
KR102132361B1 (ko) * 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
US9455135B2 (en) 2014-12-07 2016-09-27 United Microelectronics Corp. Method for fabricating semiconductor device
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
JP6861817B2 (ja) 2016-12-14 2021-04-21 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387819B1 (en) * 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6541361B2 (en) 2001-06-27 2003-04-01 Lam Research Corp. Plasma enhanced method for increasing silicon-containing photoresist selectivity
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6900123B2 (en) 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7572386B2 (en) * 2006-08-07 2009-08-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908480A (zh) * 2009-06-04 2010-12-08 株式会社日立制作所 半导体器件的制造方法
CN101908480B (zh) * 2009-06-04 2013-10-02 株式会社日立制作所 半导体器件的制造方法
CN102354669A (zh) * 2011-10-25 2012-02-15 上海华力微电子有限公司 硅纳米线器件的制作方法
CN103137443A (zh) * 2011-11-25 2013-06-05 中芯国际集成电路制造(上海)有限公司 无定形碳硬掩膜层的形成方法及刻蚀方法
CN103137443B (zh) * 2011-11-25 2015-11-25 中芯国际集成电路制造(上海)有限公司 无定形碳硬掩膜层的形成方法及刻蚀方法
CN105355538A (zh) * 2014-08-21 2016-02-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法
CN108885977A (zh) * 2016-03-04 2018-11-23 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法
CN108885977B (zh) * 2016-03-04 2023-08-08 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法
CN107968094A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种用于3d nand闪存的台阶结构成形工艺
CN111696859A (zh) * 2019-03-15 2020-09-22 台湾积体电路制造股份有限公司 使用等离子体刻蚀进行超窄沟道图案化
CN111696859B (zh) * 2019-03-15 2023-04-25 台湾积体电路制造股份有限公司 使用等离子体刻蚀进行超窄沟道图案化

Also Published As

Publication number Publication date
EP1973148A3 (en) 2009-10-14
US20080230511A1 (en) 2008-09-25
TW200905726A (en) 2009-02-01
SG146578A1 (en) 2008-10-30
EP1973148A2 (en) 2008-09-24
KR20080086385A (ko) 2008-09-25
JP2008263186A (ja) 2008-10-30
US7807064B2 (en) 2010-10-05

Similar Documents

Publication Publication Date Title
CN101320224A (zh) 对光刻胶具有高选择比的无卤素无定形碳掩膜蚀刻方法
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
TWI455203B (zh) 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US8124540B2 (en) Hardmask trim method
JP4579611B2 (ja) ドライエッチング方法
US7429533B2 (en) Pitch reduction
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US7491343B2 (en) Line end shortening reduction during etch
US8864931B2 (en) Mask trimming
JP5180121B2 (ja) 基板処理方法
JP2004152784A (ja) 微細パターンの作製方法及び半導体装置の製造方法
JP2001308076A (ja) 半導体装置の製造方法
US20090050603A1 (en) Mask trimming with arl etch
CN101290481A (zh) 控制特征尺寸收缩的蚀刻工艺
US20050186490A1 (en) Wordline structures including stabilized 193nm resist
US8470715B2 (en) CD bias loading control with ARC layer open
JP2000091318A (ja) 半導体装置の製造方法
WO2022100070A1 (zh) 光刻胶的处理方法及自对准双图案化方法
WO2006028673A1 (en) Etch with uniformity control
US20080268211A1 (en) Line end shortening reduction during etch
US6455232B1 (en) Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
JP3109059B2 (ja) ドライエッチング方法
WO2004012232A2 (en) Forming bilayer resist patterns
WO2000026954A1 (en) Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20081210