JP2006514783A - プラズマエッチングのパフォーマンスを改善する方法 - Google Patents

プラズマエッチングのパフォーマンスを改善する方法 Download PDF

Info

Publication number
JP2006514783A
JP2006514783A JP2005501104A JP2005501104A JP2006514783A JP 2006514783 A JP2006514783 A JP 2006514783A JP 2005501104 A JP2005501104 A JP 2005501104A JP 2005501104 A JP2005501104 A JP 2005501104A JP 2006514783 A JP2006514783 A JP 2006514783A
Authority
JP
Japan
Prior art keywords
etching
gas
processing chamber
deposition
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005501104A
Other languages
English (en)
Other versions
JP5019748B2 (ja
JP2006514783A5 (ja
Inventor
ファン・ジソン
リ・ルミン
サドジャディ・レザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/295,601 external-priority patent/US6833325B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2006514783A publication Critical patent/JP2006514783A/ja
Publication of JP2006514783A5 publication Critical patent/JP2006514783A5/ja
Application granted granted Critical
Publication of JP5019748B2 publication Critical patent/JP5019748B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Abstract

【課題】 エッチングマスクを通して層内にフィーチャをエッチングする方法を提供する。
【解決手段】 前記エッチングマスクの曝露された表面、および前記フィーチャの垂直側壁上に保護コーティングが保護膜形成ガス混合物で形成される。前記フィーチャは、前記エッチングマスクを通して、少なくとも1つのエッチング化学物質および少なくとも1つの保護膜形成化学物質を含む反応性エッチング混合物でエッチングされる。

Description

本発明は、プラズマを用いてエッチングマスクによって規定される構造を通してエッチングすることによって、ウェーハ上に構造を得る方法に関する。
半導体プラズマエッチングの応用例において、プラズマエッチャーは、フォトレジストマスクパターンを、シリコンウェーハ上の所望の薄膜および/またはフィルムスタック(導体または誘電体絶縁物)の回路およびラインパターンに転写するためにふつう用いられる。これは、マスクパターンの開いた領域におけるフォトレジスト材料の下のフィルム(およびフィルムスタック)をエッチングして除去することによって達成される。このエッチング反応は、反応チャンバとも呼ばれる真空容器中に含まれる反応混合物中で電気的に放電を励起することによって生じる、化学的に活性を持つ種および電気的に帯電した粒子(イオン)によって開始される。加えて、このイオンは、ガス混合物およびウェーハ材料間に作られた電界を通してウェーハ材料に向けて加速され、異方性エッチングと呼ばれる方法でイオンの軌跡の向きに沿ったエッチング材料の方向性を持つ除去を行う。エッチングシーケンスの終わりにおいて、マスキング材料は剥離され、その場所には元々意図されたマスクパターンの水平パターンの複製を残す。このエッチング方法は、図1A〜Cに示される。この方法においては図1Aに示されるように、プラズマエッチングプロセスは、フォトレジストマスクパターン104を、下にある酸化誘電体薄膜108のそれに直接に転写する。図1Bに示されるようにこのエッチングは、コンタクトホール112を作り、フォトレジスト104を腐食し侵す。図1Cに示されるようにフォトレジストはそれから除去され、コンタクトホール112を酸化物108中に残す。エッチングプロセス中に、マスク材料は、パターン転写と引き換えに、ふつう腐食され、および/または侵される。その結果、損傷および腐食も下にあるレイヤに転写され、ストライエーション、CD拡大などのような、そのような不要なパターン歪みを残しえる。
従ってエッチング法の目的は、フォトレジストマスク腐食を減らすことによって、フォトレジストマスクパターンからのパターン転写の忠実性を高めることを含む。この目的のために、反応エッチング混合物内で表面不活性化ガスを含むことが提案されている。この表面不活性化ガスは、その存在が、エッチングされる薄膜材料の除去レートと比較して、選択的にマスキング材料のエッチング損傷および腐食を低減するように選ばれえる。表面不活性化ガスは、エッチング反応を原則するためのバリアとして働くエッチング抑制コーティング(etching retardation coating)がマスキング材料の表面上に生成されるように選ばれえる。設計上、表面不活性化ガスは、それが加えて有利なようにエッチング抑制コーティングを、エッチングされるフィルム構造の垂直表面上に形成するよう選ばれ、その結果、エッチング反応はイオン衝突なしでは進まないようにされる。帯電粒子の垂直軌跡の性質によって、エッチングは、したがって、垂直方向においてだけ進みえ、水平方向にはほとんど進まず、異方性エッチングプロファイルを作る。従ってエッチング混合物中に表面不活性化ガスが存在することは、よりよいエッチングマスク保護の優位性、および比較的高いエネルギーの方向性イオン衝突の利用による、高度に異方性のあるエッチングプロファイルのために非常に重要である。
反応ガス混合物はエッチングガスおよびポリマーフォーマー(polymer formers)を含み、後者が表面不活性化ガスの役割を果たすことは、すでに提案されてきている。この場合、エッチングガスは、電気放電の励起によって高い反応種を放ち、今度はこれがエッチングされるべき薄膜材料と共にマスキング材料を自発的反応のメカニズムによってエッチングする。自発的反応の性質によって、エッチング反応は、水平表面と共に垂直表面の両方において進み、等方性エッチングプロファイルを作る。ポリマーフォーマーの共存は、エッチング構造およびマスキング材料の表面上のポリマー堆積の生成を通して、イオン衝突と併せて、マスキング材料に対する高いエッチング選択性、およびエッチング異方性を同時に作るのに用いられえる。
反応性ガス混合物が、ポリマーフォーマーガスおよびエッチングイネーブラーガス(etching enabler gas)を含むことも既に提案されている。エッチングイネーブラーガスの役割は、電気放電の存在下でポリマーフォーマーガスと反応することによって、ポリマーフォーマーガスが高度に反応性の種を放つことを可能にすることである。あるいはマスキング材料と共に、エッチング材料上の抑制コーティングも、適切に選ばれた表面不活性化ガスのこれら材料の表面との直接的な化学的反応によって形成されえる。
上述の方法の通常の欠点は、エッチング要件の異なる局面についての最適条件がふつうは一致せず、それぞれの前駆体ガスの独特の特性のいくつかはガスを混合することによって相互反応によって失われえることである。エッチング条件の最適化はほとんど常に、複雑なトレードオフを、異なるエッチング化学反応が別々である場合に最適にはならないかもしれない単一のエッチング条件に組み込むことを伴う。
エッチング方法のバリエーションは、1996年3月26日にLaemerらに発行された「Method of Anisotropically Etching Silicon」と題された米国特許第5,501,893号に教示される。この方法は、エッチングガスおよびポリマーフォーマーガスを2つの異なるステップに分離し、それぞれは純粋に一種類の化学物質からなり、他方を含まない。これにより、低いイオン衝突エネルギーにおける高速なエッチングレートが可能となるが、それは低いイオン衝突エネルギーにおいては、もしエッチング材料の表面における反応についての励起エネルギーが、マスキング材料よりもわずかに低いなら、ある種の自発的エッチング反応についてマスキング材料に対する高選択性が達成されえるからである。ポリマーフォーマーをエッチングプロセスから除去することによって、一方、エッチングプロセスは、エッチングが進んでいる期間、必然的に等方性になるが、これは水平エッチングが起こることを防ぐための抑制レイヤ(retardation layer)が存在しないからである。加えて、表面不活性化ガスがエッチング混合物中にないので、もしより高いイオンエネルギーを使うことが望ましいなら、マスキング材料に対する十分なエッチング選択性を得るのが難しくなる。多くのエッチング応用例は、例えば非常に小さい寸法の構造において高いアスペクト比の構造を得ることで、高いイオン衝突エネルギーから利益を得ることができる。
さらなる提案された方法は、マスキング材料の全体的なエッチング耐性を改善するための、スタック化されたマスキングスキーム(stacked masking scheme)を含む。これは図2A〜Fに示される。図2Aにおいて、酸化物レイヤ204が与えられる。図2Bは、酸化物レイヤ上に置かれたハードマスクレイヤ208を示す。フォトレジストマスク212は図2Cに示されるようにハードマスクレイヤ208上に置かれる。フォトレジストマスク212は、パターニングされたハードマスクレイヤ214を作るためにハードマスクレイヤ208をパターニングするのに用いられ、フォトレジストレイヤ212は図2Dに示されるように除去されえる。コンタクトホール216は、図2Eに示されるようにマスクとしてパターニングされたハードマスクレイヤ214を用いて酸化物レイヤ204内にエッチングされる。ハードマスクは、図2Fに示されるようにそれから除去されコンタクト216を酸化物レイヤ204内に残す。
この方法の利点は、それからパターン(回路およびライン)を下にあるフィルムへ転写するより不活性なハードマスクを用いることによって、エッチングパフォーマンスがより向上し、エッチングおよびフォトリソグラフィに対する要件も大きく緩和されることである。この方法の欠点は、新しいプロセスステップおよび新しいツールセットをプロセスフローに導入することによって、より高いコストおよびより低い全体的なスループットになることである。加えて追加のプロセスの複雑さはまた、それ自身によって困難さを導入する。例えば誘電体コンタクトエッチングの応用例に用いられるシリコンハードマスクは、フォトレジストマスクほど簡単には剥離されない。
水平CDロスまたはダメージなしでマスクパターンをエッチングレイヤに転写するために、既にエッチングレイヤ中に存在する水平パターンの水平CDは、エッチングレイヤをエッチングするプロセスのあいだ、多くのエッチング応用例において保存されなければならない。これらのエッチングレイヤパターンは、ふつうはエッチングマスク材料によって保護されない。このクラスのエッチング応用例の説明は、プラズマエッチングによるデュアルダマシン構造の形成の例を用いてなされる。
説明を進めるため、図9Aは、従来技術のデュアルダマシンプロセスで用いられるウェーハ110上のスタック900の断面図である。コンタクト904は、ウェーハ910上で誘電体レイヤ908内に配置されえる。バリア層912は、窒化シリコンまたは炭化シリコンで形成されえ、銅拡散を防止するためにコンタクト904上に配置されえる。ビアレベル酸化シリコン誘電体層916がバリア層912上に配置されえる。トレンチストップ層920(炭化シリコンまたは窒化シリコン)がビアレベル誘電体916上に配置されえる。トレンチレベル酸化シリコン誘電体層924は、トレンチストップ層920上に配置されえる。反射防止層(ARL)928は、トレンチ誘電体層924上に配置されえる。パターン付きレジスト層932は、ARL928上に配置されえる。ARL928は、窒化シリコン、SiON、または高屈折率および高吸光係数を持つ他の材料から形成されえる。
図10は、スタック900をデュアルダマシン構造に形成するために従来技術で用いられるプロセスの高レベルフローチャートである。スタック900はエッチングが施されえ、これはビア940をバリア層912までエッチングする(ステップ1004)。ビア940のエッチングは、クラスト944を形成しえ、これが側壁を形成する。図9Cに示されるように、クラスト944およびレジスト932は、除去されえ、後で新しいレジスト層960で再パターン付けされえ、この層はトレンチを形成するようパターン付けされる(ステップ1008)。図9Dに示されるように、このスタックもエッチングが施されえ、これはトレンチ964を中間トレンチエッチングストップ層920までエッチングする(ステップ1012)。トレンチ964のエッチングは、ビアレベル誘電体層916の一部をファセット972にしえる。このファセッティング(faceting)は、デュアルダマシン構造に対するダメージと考えることもできる。中間トレンチエッチングストップ層920は、ファセッティングを減らすのに用いられえる。トレンチ964のエッチングは、新しいクラスト968も形成しえ、これは側壁を形成する。レジスト層160およびクラストは、それから剥離される(ステップ1016)。スタック900はそれからバリア層エッチングが施され(ステップ1020)、これは図9Eに示される構造を作るために、銅コンタクト904にビア940を開ける。図9Fに示されるように金属バリア層974は、銅コンタクト上に堆積されえる(ステップ1024)。銅シード層976はそれからビアおよびトレンチの内部をコーティングするために用いられえる。銅978でトレンチおよびビアを満たすために電気メッキが用いられえ、これはトレンチ誘電体層924まで研磨される。この銅978は、次のレベルへの銅接続部として用いられえ、そのためこのプロセスは銅接続部および誘電体層の複数のレベルを作るよう繰り返される。
中間トレンチエッチングストップ層はファセッティングを減らすのに用いられえるが、中間トレンチエッチングストップ層は、さらなる処理ステップを必要とし、これは処理時間およびコストを増す。
加えて、集積回路は誘電体層を用い、これらは、半導体構造のさまざまな層上の導電ラインを絶縁するために典型的には二酸化ケイ素、SiO2から形成されている。半導体回路がより速くよりコンパクトになるに従い、動作周波数は高くなり、半導体素子内の導電ライン間の距離は減る。これによって回路への結合容量のレベルが増すことになり、これは半導体素子の動作を遅らせる欠点を有する。したがってそのような結合容量レベルの増加に対して効果的に導電ラインを絶縁できる誘電体層を用いることが重要になってきている。
一般に集積回路中の結合容量は、誘電体層を形成するのに用いられる材料の誘電率kに正比例する。上述のように従来の集積回路における誘電体層は、典型的にはSiO2から形成されてきており、これは約4.0の誘電率を有する。ライン密度および半導体素子における動作周波数が大きくなる結果、SiO2から形成された誘電体層は、結合容量レベルが高くなるのを防ぐのに必要とされる程度に導電ラインを効率的には絶縁しないかもしれない。
集積回路中の結合容量レベルを減らすために、半導体業界は、SiO2のそれよりも小さい誘電率を有する材料を開発するための研究に携わっており、これら材料は集積回路中で誘電体層を形成するのに用いられるのに適する。「低k材料」として呼ばれることもある多くの有望な材料が開発されてきている。本明細書および特許請求の範囲において、低k材料は、4よりも小さい誘電率kを持つ材料として定義される。フッ化珪酸塩ガラスは低k誘電体の一つの例であり、これは約3.7の誘電率を有する。これはSiO2にドーピングされた約7〜9%のフッ素を含む。
低k材料の他の興味深いクラスは、有機珪酸塩ガラス、つまりOSGを含む化合物である。限定ではなく例として、そのような有機珪酸塩ガラス誘電体は、カリフォルニア州、サンノゼのNovellusからのCORAL(商標)、カリフォルニア州、サンタクララのApplied MaterialsからのBlack Diamond(商標)、オランダのASM International N.V.から入手可能なAurora(商標)、カリフォルニア州、サンタクララのSumitomo Chemical America,Inc.から入手可能なSumika Film(登録商標)、およびニュージャージー州、MorristownのAllied SignalからのHOSP(商標)を含む。有機珪酸塩ガラス材料は、密度を低下させ、よって材料の誘電率をも低下させる二酸化ケイ素格子中に組み込まれた炭素および水素原子を有する。このようなフィルムの誘電率は典型的には3.0未満である。
説明を進めるために、図11Aは、トレンチストップ層なしに、低k誘電体を用いるダマシン構造の製造におけるウェーハの一部の断面図である。コンタクト1104は、ウェーハ1110上の低k誘電体層1108中に配置されえる。第2コンタクト1106も、この低k誘電体層1108の中にありえる。誘電体層1112は、これには限定されないが典型的には窒化シリコンまたは炭化シリコンで形成されえ、銅拡散を防止するためにコンタクト1104上に配置されえる。低k誘電体層1120がバリア層1112上に配置されえる。反射防止層(ARL)1128は、低k誘電体層1120上に配置されえる。パターン付きレジスト層1132は、ARL1128上に配置されえる。パターン付きレジスト層1132は、ビア1140を提供するためにパターニングされ、このビアは低k誘電体層1120中へとエッチングされる。図11Bに示されるように、レジスト層1132は除去され、第2パターン付きレジスト層1160がARL1128上に配置される。第2レジスト層1160は、トレンチ1164を提供するためにパターニングされ、このトレンチは低k誘電体層1120中へとエッチングされる。
中間トレンチエッチングストップ層の欠如、および低k誘電体の使用のため、この例ではファセッティング1172が増しえる。このようなファセッティングは、ビアおよびトレンチを埋めるのに用いられる銅が第2コンタクト1106に近すぎるようにしてしまいえる。これはまた、ビアの底部の寸法を増しえる。
理解を促すために、図12Aは、トレンチストップ層なしに、低k誘電体を用いるダマシン構造の製造におけるウェーハの一部の断面図である。第1コンタクト1204および第2コンタクト1206は、ウェーハ1210上の低k誘電体層1208中に配置されえる。誘電体バリア層1212は、これには限定されないが典型的には窒化シリコンまたは炭化シリコンで形成されえ、銅拡散を防止するために第1および第2コンタクト1204、1206上に配置されえる。低k誘電体層1220がバリア層1212上に配置されえる。第1ビア1240および第2ビア1244は、低k誘電体層1220中へとエッチングされえる。
底部反射防止コーティング(BARC)層1228は、低k誘電体層1220上を覆いえる(spun over)。このようなBARC上の覆い(spun)は、ビア1240、1244を少なくとも部分的に埋める傾向があり、ビア中に側壁およびプラグを形成する。一般に、より細いビアは、広いビアが埋められるよりも、より高い深さまでBARCで埋められる。またより広がって離れたビアは、より接近して集中したビアよりも高くまで埋められえる。その結果、ビアを均一な高さまで埋めることが困難でありえる。
図12Bは、トレンチ1248、1252がエッチングされた後のウェーハの一部の断面図である。ビア中のBARCの存在は、フェンス1256、1260を作り、さらにファセッティング1262、1264も作る。ファセッティングの量およびフェンスのサイズは、BARCの高さに依存する。したがって不均一なBARC高は、不均一なファセッティングおよびフェンスを生じえる。フェンスはストレス位置になりえ、これはエレクトロマイグレーション、ボイドおよび他の欠陥を生じえ、これらは結果として生じる半導体デバイスの信頼性を低下しえる。
加えて、プラグフィリングおよびストリッピングは、プロセスフローにさらなるコストおよび複雑さを招く。加えてそのようなプラグは、来るべき誘電体材料中に誘電体被毒(dielectric poisoning)を生じえる。プラグフィリングがなければ、ファセッティングのようなメカニズムによるエロージョンのためにビアホールのCDの増加を防ぐことは困難でありえる。
本発明の目的は、層または層群のスタック中のフィーチャ(feature)をエッチングすることによって、ストップ層と共にマスキング材料に同時に高エッチング異方性および高選択性を持つマスキング材料によって形成された水平パターンの高忠実なレプリカを得る一般的な方法を提供することである。加えて、本発明は、不当な水平CD損失およびエッチング層水平パターンへのダメージなしで、エッチングマスクによって覆われておらず、犠牲フィラー材料(sacrificial filler material)によって保護されないか、または十分には保護されないエッチング層中に既に存在する水平パターンをエッチングする一般的な方法を提供することを意図される。
上述を達成するために、本発明の目的に従って、エッチングマスクを通して層内にフィーチャをエッチングする方法が提供される。前記エッチングマスクの曝露された表面、および前記フィーチャの垂直側壁上に保護コーティングが保護膜形成ガス混合物で形成される。前記フィーチャは、前記エッチングマスクを通して、少なくとも1つのエッチング化学物質および少なくとも1つの保護膜形成化学物質を含む反応性エッチング混合物でエッチングされる。
本発明の他の実施形態において、エッチングマスク下の層をエッチングする装置であって、前記層は基板によって支持される装置が提供される。プラズマ処理チャンバであって、プラズマ処理チャンバ容器を形成するチャンバ壁、前記プラズマ処理チャンバ容器内で基板を支持する基板支持部、前記プラズマ処理チャンバ容器内の圧力を制御する圧力レギュレータ、プラズマを維持するために電力を前記プラズマ処理チャンバ容器に供給する少なくとも1つの電極、前記プラズマ処理チャンバ容器へガスを供給するガス入り口、および前記プラズマ処理チャンバ容器からガスを排気するガス出口を備えるプラズマ処理チャンバが提供される。堆積ガス源、およびエッチング剤ガス源が提供される。前記プラズマ処理チャンバの前記ガス入り口および前記堆積ガス源間で流体的に接続された第1制御バルブ、および前記プラズマ処理チャンバの前記ガス入り口および前記エッチング剤ガス源間で流体的に接続された第2制御バルブが提供される。前記第1制御バルブ、前記第2制御バルブ、および前記少なくとも1つの電極に制御可能に接続されたコントローラであって、少なくとも1つのプロセッサ、およびコンピュータで読み取り可能な媒体を備えるコントローラが提供される。コンピュータで読み取り可能な媒体は、少なくとも1つの堆積ステップのあいだ前記第1制御バルブを開いて、前記堆積ガス源から堆積ガスを前記プラズマ処理チャンバ容器に供給するコンピュータによって読み取り可能なコード、前記少なくとも1つの堆積ステップのあいだ前記第2制御バルブを閉じて、前記エッチング剤ガス源からエッチングガスが前記プラズマ処理チャンバ容器に入ることを防ぐコンピュータによって読み取り可能なコード、少なくとも1つのエッチングステップのあいだ前記第2制御バルブを開いて、前記エッチングガス源からエッチングガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコード、および前記少なくとも1つの電極に電力を供給して、前記少なくとも1つのエッチングステップのあいだ前記基板上に250ボルトより大きいバイアスを供給するコンピュータによって読み取り可能なコードを含む。
本発明の他の実施形態において、デュアルダマシンフィーチャを形成する方法が提供される。エッチング層内にビアが形成される。前記エッチング層上にトレンチパターン付きマスクが提供される。トレンチがエッチングされ、前記トレンチを前記エッチングすることは、前記ビアの前記側壁上に保護側壁を形成すること、および前記トレンチパターン付きマスクを通してエッチングすることのサイクルを含む。それから前記マスクが剥離される。
エッチングマスク下の層をエッチングする装置であって、前記層は基板によって支持される装置が提供される。プラズマ処理チャンバであって、プラズマ処理チャンバ容器を形成するチャンバ壁、前記プラズマ処理チャンバ容器内で基板を支持する基板支持部、前記プラズマ処理チャンバ容器内の圧力を制御する圧力レギュレータ、プラズマを維持するために電力を前記プラズマ処理チャンバ容器に供給する少なくとも1つの電極、前記プラズマ処理チャンバ容器へガスを供給するガス入り口、および前記プラズマ処理チャンバ容器からガスを排気するガス出口を備えるプラズマ処理チャンバが提供される。堆積ガス源、およびエッチング剤ガス源が提供される。第1制御バルブは、前記プラズマ処理チャンバの前記ガス入り口および前記堆積ガス源間で流体的に接続される。第2制御バルブは、前記プラズマ処理チャンバの前記ガス入り口および前記エッチング剤ガス源間で流体的に接続される。コントローラは、前記第1制御バルブ、前記第2制御バルブ、および前記少なくとも1つの電極に制御可能に接続される。このコントローラは、少なくとも1つのプロセッサ、およびコンピュータで読み取り可能な媒体を備える。コンピュータで読み取り可能な媒体は、少なくとも1つの堆積ステップのあいだ前記第1制御バルブを開いて、前記堆積ガス源から堆積ガスを前記プラズマ処理チャンバ容器に供給するコンピュータによって読み取り可能なコード、前記少なくとも1つの堆積ステップのあいだ前記第2制御バルブを閉じて、前記エッチング剤ガス源からエッチングガスが前記プラズマ処理チャンバ容器に入ることを防ぐコンピュータによって読み取り可能なコード、および少なくとも1つのエッチングステップのあいだ前記第2制御バルブを開いて、前記エッチングガス源からエッチングガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコードを含む。
本発明のこれらおよび他の特徴は、本発明の詳細な説明において以下の図と併せて以下により詳細に説明される。
本発明は、限定ではなく例示によって添付の図面の図中で示され、これらにおいて同様の参照番号は同様の要素を示す。
本発明は、添付図面に示されるそのいくつかの好ましい実施形態を参照して詳細に説明される。以下の説明では多くの具体的な詳細が述べられるが、これは本発明を完全に理解するためである。しかし本発明はこれら具体的な詳細の一部または全てがなくても実施されえることが当業者には明らかだろう。あるいは、本発明の趣旨を不必要にぼかさないために、よく知られたプロセスステップおよび/または構成は記載されていない。
本発明は、in-site保護膜形成プロセスがエッチングプロセスと結合され統合されることによって、簡単さおよび費用効果性を不当に犠牲にすることなく全体的なエッチングパフォーマンスが向上される新しいエッチング方法である。
この新しい方法において、エッチング進行中に、エッチングフィーチャ(etching features)の垂直側壁と共にフォトレジストマスクを向上および/または修復するためにin-siteプラズマ化学プロセスが用いられる。この新しいエッチングシーケンスのあいだ、ウェーハがエッチングプラズマに所望の期間、曝露される前および/または後に、プラズマ化学プロセスステップが短い期間だけ開始される。プラズマ保護膜形成プロセス(plasma passivation process)は、後のエッチングエロージョンからマスクを保護するためにマスクパターン上に材料コーティングの薄膜が形成されるように選択される。好ましくは、この薄膜コーティングは、最終的には除去が簡単で、しかしマスク材料よりはエッチング耐性が高いよう、後のストリッピングプロセスと互換性がある材料である。例えば、他の要素を非常に少ししか含まないか、全く含まないカーボンリッチな(carbon-rich)薄膜がフォトレジストマスクをコーティングするのに用いられえ、その結果、保護されたマスクフィーチャは後続のエッチングプロセスによって簡単にはエロージョンされない。換言すれば、それは、マスクがアモルファスカーボンハードマスクのある種の有益なエッチング特性を有する疑似ハードマスクのように振る舞うように、マスクパターンの表面組成を変化させる。あるいは保護膜形成プロセスは、マスクパターン上の薄膜コーティングの形成が、それ以前のエッチングプロセスによって損傷を受けた/エロージョンされたマスクパターンを大部分、補償および/または修復するように用いられえる。コーティングが後続のエッチング反応に対し相対的に不活性であることは、エッチングステップで得られた微妙なバランスを変えないようにするために有益である。
エッチングガス混合物は、エッチング剤種(etchant species)および少なくとも1つの表面保護種(passivation species)を含み、それによりエッチング化学作用中の表面不活性化ガス(passivation gas)に関連付けられた利点を失わないようにする。エッチング要素の表面保護要素に対する比は、複数の他の処理条件と併せて、細かくバランスがとられることによって、フォトレジスト選択性、エッチング異方性およびエッチングレートなどのような最適な処理結果を得る。電気的放電電力は高く維持され、帯電粒子のエネルギーも高く維持されることによって、小さな寸法の構造における高いエッチングレートおよび良好なエッチング異方性を得る。表面保護およびエッチングシーケンスは、こうである必要はないが、エッチングの工程が完了するまで反復され調節されえる。
理解を促すために、図3は、本発明の実施形態のフローチャートである。フォトレジストマスクがエッチングされるべきレイヤ上に設けられる(ステップ304)。図4A〜Fは、このプロセスの概略図である。図4Aは、フォトレジストマスク404を示し、これはエッチングされるべき酸化物層408上に設けられており、この酸化物層は基板上にある。この基板は、プロセスチャンバ中に置かれる(ステップ306)。
図5は、本発明の好ましい実施形態において用いられえるプロセスチャンバ500の概略図である。この実施形態において、プラズマ処理チャンバ500は、閉じ込めリング502、上部電極504、下部電極508、ガス源510、および排気ポンプ520を備える。ガス源510は、表面不活性化ガス源(passivation gas source)512、エッチング剤ガス源(etchant gas source)514、および追加ガス源516を備える。プラズマ処理チャンバ500内では、酸化物層が堆積される基板ウェーハ580は、下部電極508上に配置される。下部電極508は、基板ウェーハ580を保持する適切なチャッキングメカニズム(例えば静電、機械的クランピングなど)を含みえる。反応器上部528は、下部電極508のすぐ反対に配置された上部電極504を含む。上部電極504、下部電極508、および閉じ込めリング502は、閉じ込めプラズマ容積(confined plasma volume)540を規定する。ガスは、ガス源510によってガス入り口543を通してこの閉じ込めプラズマ容積に供給され、排気ポンプ520によって閉じ込めリング502および排気口を通して閉じ込めプラズマ容積から排気される。排気ポンプ520は、プラズマ処理チャンバのためのガス出口を形成する。第1RF源544は、電気的に上部電極504に接続される。第2RF源548は、電気的に下部電極508に接続される。チャンバ壁522は、その中に閉じ込めリング502、上部電極504、および下部電極508が配置されるプラズマ格納容器(plasma enclosure)を規定する。第1RF源544および第2RF源548は、27MHz電源および2MHz電源を備えうる。RF電源を電極に接続する異なる組み合わせが可能である。カリフォルニア州、FremontのLAM Research Corporation(商標)によって作られた改変されたExelan 2300 DFC(デュアル周波数閉じ込め)が本発明の好ましい実施形態において用いられえる。コントローラ535は、第1RF源544、第2RF源548、排気ポンプ520、堆積ガス源512に接続された第1制御バルブ537、エッチングガス源514に接続された第2制御バルブ539、および追加ガス源516に接続された第3制御バルブ541に制御可能に接続される。ガス入り口543は、ガス源512、514、516からガスをプラズマ処理筐体内へ供給する。シャワーヘッドがガス入り口543に接続されえる。ガス入り口543は、それぞれのガス源について単一の入り口でありえ、またはそれぞれのガス源について異なる入り口でありえ、またはそれぞれのガス源について複数の入り口でありえ、または他の可能な組み合わせでありえる。
図4Bに示されるように保護層412は、フォトレジストマスク404上に形成される(ステップ308)。堆積は、堆積量がマスキング材料上に優先的に多く形成されるように、非対称であることが好ましい。このようなプロセスは、選択されたCVDプロセスの選択的な性質によるのと共に、その位置の堆積源への透視線(line-of-sight)によって助けられる。換言すれば、堆積化学反応は、その材料の化学的不活性さの差異のためにマスキング材料上に優先的にコーティングが形成されるように選択される。図4Bに見られるように、フォトレジストマスクの底部の酸化物表面上、およびフォトレジストマスクの側壁上よりも、より厚い保護層412がフォトレジストマスク404の上部の上には形成される。好ましい実施形態において、堆積は、化学気相成長(CVD)プロセスを用いてエッチングチャンバ内でin-siteでなされ、これは薄膜保護層をフォトレジストの側壁上に堆積もする。好ましくは堆積は、このような堆積の選択性を実現するためにある程度のイオンエネルギーを利用する。
他の実施形態において、処理条件は、保護層の厚さおよび空間的分布を変えるために変更されえる。例えば、後続のエッチングによってさらなる歪みからエッチング構造を保護するために、エッチングが進行するに従い、より厚いコーティングをエッチング構造の側壁上に形成することが望ましいかもしれない。処理条件のバリエーションがこれを提供しえる。保護膜形成(passivation)およびエッチングは別個のステップであるので、保護膜形成のための処理条件は、エッチング処理に影響を与えることなく、この結果のために最適化されえる。
堆積のあいだ、堆積ガスのフッ素対炭素の比は、2:1より大きくはない。CVDに用いられえる堆積化学物質の例は、以下に限定されないが、CH3F、CH22、C25F、C37F、C23F、CH4、C24、C26、C22、C38、およびSiH4、Si(CH34、Si(C254でありえる。これら化学物質は、ハロゲンがないか、またはハロゲン対炭素の比が2:1より大きくはないことが好ましい。理論によって限定されることなく、炭素ベースの化学物質は、薄いエッチング耐性アモルファス炭素層を形成すると信じられる。シランSiH4は、アモルファスシリコン層(またはポリアモルファスシリコン(polyamorphous silicon))をフォトレジスト上に形成するために用いられえる。加えて、保護層は、FおよびH成分がある程度存在することで変更されたかもしれない。Fのような他の成分の存在は、異なる材料表面上に選択的活性(selective activity)を生むことによって、適切なイオン衝突の下、フォトレジストマスク材料上に起こり、SiO2層には起こらないような、堆積が優先的にある材料上に起こり、他の材料上には起こらないようするために用いられえる。薄さおよびエッチング耐性は、フォトレジストエッチングまたは損傷に耐えるだけ十分な、かつ所望のフィーチャ形状のエッチングを可能にするのに十分な保護を与える。エッチングする前に保護層をフォトレジストマスク上に堆積するためにスパッタリングのような他の方法が用いられえる。保護膜形成ステップは、エッチング処理における独立したステップであり、これは異なる材料の異なるエッチング応用例のための堆積ガスの異なる組み合わせを含みえ、ここでこの堆積は、可能なマルチステップガススイッチングシーケンスを用いて、マスキングフィーチャを含むエッチングフィーチャの周りに保護コーティングを提供する。このステップを達成するために、コントローラ535は、第1バルブ537が堆積ガスを堆積ガス源512から処理チャンバ500へ導くようにさせえ、一方、第2バルブ539がエッチング剤ガス源514からのエッチングガスが処理チャンバに入ることを防ぐようにさせえる。コントローラ535は、第1および第2RF源544、548および排気ポンプ520によって供給される電力も制御しえる。このコントローラはまた、ウェーハ圧力、バックサイドHe冷却圧力、基板上のバイアス、およびさまざまな温度を制御するのにも用いられえる。
表Iは、本発明の好ましい実施形態における保護膜形成およびエッチングステップにおいて用いられえるパラメータのいくつかの表である。
Figure 2006514783
このバイアスは、基板の上の上部電極、および基板の下の下部電極の間に一定電圧を与えることによって提供されえる。好ましい実施形態において、RF電力発生器によって供給される無線周波数(RF)電圧を印加することによってウェーハ材料を保持する基板上に電気的陰性が形成されえる(それによりバイアスをウェーハに供給する)。これは、RF電圧の振幅によって制御される電気的陰性によって決定されるエネルギーにおいて、正に帯電した粒子を電気的にバイアスされた基板へ向けて引く効果を有する。したがって基板ホルダーに印加されるRF電力(よってRF電圧)を制御することによってイオン衝突エネルギーを供給および変更することが可能である。
図4Cに示されるように、次に酸化物層408は、フィーチャ416を形成するために、フォトレジストマスク404を通してエッチングされる。エッチング応用例は、以下に限定されないが、誘電体コンタクトエッチング(高アスペクト比コンタクト(HARC)またはダマシン)、コンダクタトレンチエッチング(シャローまたはディープ)、セルフアラインコンタクトエッチング、ゲートマスクオープンエッチング、コンタクトエッチング、ビア誘電体エッチング、デュアルダマシンビアエッチング、デュアルダマシントレンチエッチング、コンダクタゲートエッチング、コンダクタディープトレンチエッチング、コンダクタシャロートレンチエッチング、およびハードマスクオープニングを含みえる。好ましくは、このエッチングは、高イオンエネルギーを用いて方向性エッチングを行う。このエッチングは、示されるように保護層412の一部を除去しえる。表面の一部上の全ての保護層が除去されえる。この例では、フォトレジスト404上の側壁を形成する保護層が除去されている。保護層の他の部分は、部分的に除去されるのみでありえる。この例では、フォトレジスト404の上部表面上の保護層412の一部だけが除去されている。他の実施形態において、保護層の他の部分が部分的にエッチングされ除去されえ、または完全にエッチングされて除去されえる。このステップを実現するために、コントローラ535は、第1バルブ537によって、堆積ガス源512から処理チャンバ500内への堆積ガスの流れを止めるようにし、一方、第2バルブ539によってエッチング剤ガス源514から処理チャンバ内へエッチングガスが流れることを可能にする。コントローラ535は、第1および第2RF源544、548によって供給される電力を変化させえ、このエッチングを実現するために排気ポンプ520の設定を変化させえる。またこのコントローラは、ウェーハ圧力、バックサイド圧力、およびさまざまな温度を変えることによってこのエッチングプロセスを実現しえる。このエッチングステップは、高エネルギーイオンを用いて方向性エッチングを提供するので、ポリマーフォーマーガス(polymer former gas)がエッチングのあいだ供給される。このポリマーフォーマーガスは、例えば、炭化水素、フッ化炭素、およびC46、C48、CH3F、CH22、CH4、C36、C38、およびCHF3のようなフルオロ置換炭化水素でありえる。これらポリマーフォーマーガスは、エッチングのあいだ常に追加され、エッチングで除去されるポリマー層を形成する。
表IIは、本発明の好ましい実施形態におけるエッチングプロセスにおいて用いられえるパラメータのいくつかの表である。
Figure 2006514783
コンタクトホールが少なくとも部分的にエッチングされた後、さらにエッチングすべきかについて決定がなされる(ステップ316)。これは、セットレシピによって、または計測を行うことによってなされえる。さらなるエッチングが望まれるなら、図4Dに示されるように、プロセスはステップ308に戻り、ここで追加の保護層418がフォトレジストマスク上に堆積される。この例では、古い保護層の残りの部分が新しい保護層418の一部となる。このステップにおいて再びコントローラ535が第1制御バルブ537を開けて、堆積ガスを供給し、第2制御バルブ539を閉めてエッチングガスの流れを止める。コントローラ535は、また他のパラメータを変えて堆積を実現しえる。
コンタクトホールがフォトレジストマスクを通してそれからさらにエッチングされ(ステップ312)、より深いコンタクトホール416を図4Eに示すように提供する。このステップにおいて、再びコントローラ535は第1制御バルブ537を閉じて堆積ガスを止め、第2制御バルブ539を開けてエッチングガスの流れを許す。コントローラ535はまた他のパラメータを変えてエッチングを実現しえる。
好ましくは、交互の堆積およびエッチングステップを提供するこのサイクルつまりループは、1回よりも多い回数反復される。好ましくはこのサイクルは、3回より多い回数反復される。好ましくはこのサイクルは、少なくとも5回反復される。このサイクルは、数十回反復されえる。このサイクルを100回反復することが望ましいかもしれない。
好ましくは、少なくとも最後のサイクルにおいて、エッチングステップは、図4Eに示されるように保護層を完全にエッチングして除去する。さらなるエッチングが望まれないとき、フォトレジストマスクが剥離され(ステップ320)、コンタクトホール416を持つ酸化物層408が図4Fに示されるように生じる。フォトレジストマスクは、処理チャンバ500内で剥離されえ、または処理チャンバ500から取り出された後に剥離されえる。
他の実施形態において、エッチングステップは、保護層をフォトレジストマスク上に堆積するステップ308の前に追加されえる。
好ましくは保護層のエッチングおよび堆積は、同じチャンバ内でなされるが、異なるチャンバ内でなされてもよい。カリフォルニア州、FremontのLAM Research Corp.によって作られたExelan、DFC 2300が堆積およびエッチングステップの両方を実行するために適用されえる。堆積およびエッチングが同じチャンバ内でなされるので、堆積およびエッチング間のサイクリングは迅速になされえる。
フォトレジストマスクのための材料の例は、以下に限定されないが、ディープUVフォトレジスト、193nmフォトレジスト、157nmフォトレジスト、EUVフォトレジスト、eビームフォトレジスト、およびx線フォトレジストのようなフォトレジストの新世代を含みえる。フォトレジストポリマー材料の旧世代は、C−C二重結合およびC−C三重結合のような不飽和C−C結合を含むことによって、要求された高エッチング耐性、すなわちエッチングガス混合物に対する化学的不活性を提供するよう設計される。これら結合は強く、切るために高活性化エネルギーを必要とし、したがって比較的低いイオンエネルギーにおいては旧世代のフォトレジストはエッチングガス混合物に対し非常に低いエッチングレートを示しえる。193nmおよび157nmを含むフォトレジストの新世代はこれら不飽和結合を含まないが、それはこれらの不飽和結合がリソグラフィ露光波長において吸収し、ずっと低いフォトレジストエッチング耐性につながるからである。少なくとも1つの保護膜形成ガスを含むエッチング混合物を用いて、エッチングフェーズのあいだにフォトレジスト上に保護コーティングを提供することによって、フォトレジストのエッチング耐性はずっと改善され、高イオン衝突エネルギーにおいてさえそうである。本発明がフォトレジストのエッチング耐性を改善しえる高イオン衝突エネルギーは、50〜2,000eVでありえる。より好ましくは、イオン衝突エネルギーは、200〜1,500eVでありえる。最も好ましくは、イオン衝突エネルギーは、500〜1,000eVでありえる。
ビアエッチング例
SiO2層を193フォトレジストマスクおよびこのSiO2層およびフォトレジストマスクの間の底部反射防止膜コーティング(BARC)でエッチングする本発明の具体的な例は、処理チャンバ500としてExelan DFC 2300を用いる。この処理チャンバ500において、BARCエッチングが実行される。BARCエッチングは、110ミリトールの圧力を有し、これは閉じ込めリング502、排気ポンプ520およびガス入り口543を通したフローレートによって設定されえる。電極504、508を通した27MHzにおいて供給される電力は1200ワットであり、2MHzにおける電力はない。エッチング化合物は、アルゴン700sccm、CF460sccm、およびO212sccmである。上部電極504は、180℃の温度に設定される。下部電極508によって形成されるチャックは、10℃の温度に設定される。ヘリウムのバックサイド内側ゾーンチャック圧力は15トールに設定される。バックサイド外側ゾーンチャック圧力は15トールに設定される。この例では、BARCエッチングは、50秒間維持される。コントローラ535は、これらパラメータを制御する。追加ガス源516は、BARCエッチングのためのガスを提供するのに用いられえる。追加ガス源516は、1つのガス源より多くを表しえる。第3バルブ541は、1つのバルブより多くを表しえ、それにより追加ガスがコントローラ535によって独立して制御されえる。Exelan DFC 2300については、ヘリウムのバックサイド圧力は、チャックを冷却するのに用いられる。Exelan DFC 2300は、チャックの中心に近い内側バックサイド圧力、およびチャックの外側エッジに近い外側バックサイド圧力を可能にする。コントローラ535は、これら圧力を制御することができる。
保護層の堆積は、Exelan DFC 2300中で50ミリトールの圧力、27MHzにおいて印加される800ワットおよび2MHzにおいて印加される400ワットにおいて実行される。堆積化合物は、アルゴン500sccm、およびCH3F50sccmである。上部電極は、180℃の温度に設定される。チャックは10℃の温度に設定される。ヘリウムのバックサイド内側ゾーンチャック圧力は30トールに設定される。バックサイド外側ゾーンチャック圧力は12トールに設定される。この例では堆積ガス源512は、CH3Fを供給し、これはエッチングのあいだには提供されない。アルゴンは、追加ガス源516から提供されえるが、アルゴンは堆積およびエッチングの両方のあいだに提供されるからである。コントローラ535は、第1バルブ537を開き、第2バルブ539を閉じる。コントローラはまた、追加ガス源からのアルゴンの流れを制御する。コントローラ535は、電力および他のパラメータを上述のように制御する。
SiO2層のエッチングは、Exelan DFC 2300中で40ミリトールの圧力、27MHzにおいて印加される2500ワットおよび2MHzにおいて印加される3500ワットにおいて実行される。エッチング化合物は、アルゴン400sccm、C4636sccm、およびO230sccmである。C46は、ポリマーフォーマーガスであり、これは重合反応をエッチングのあいだに行う。O2は、エッチングイネーブラーガス(etching enabler gas)である。C46からのフッ素がエッチング中に用いられるが、フッ素はこの例ではエッチングを可能にするために酸素の存在を必要とする。上部電極は、180℃の温度に設定される。チャックは10℃の温度に設定される。ヘリウムのバックサイド内側ゾーンチャック圧力は30トールに設定される。バックサイド外側ゾーンチャック圧力は12トールに設定される。この例ではエッチング剤ガス源514は、C46およびO2を供給し、酸素なしでC46は堆積中に用いられえるが、これは堆積のあいだには提供されない。コントローラ535は、第1バルブ537を閉じ、第2バルブ539を開く。コントローラはまた、追加ガス源からのアルゴンの流れを制御する。コントローラ535は、電力および他のパラメータを上述のように制御する。
この例において、まずBARCエッチングが50秒間実行される。次に保護層の堆積(ステップ308)が10秒間実行される。次に、コンタクトホールが25秒間エッチングされる(ステップ312)。それから保護層の堆積が10秒間実行される(ステップ308)。フィーチャのエッチングが25秒間(ステップ312)および保護層の堆積が10秒間(ステップ308)が4回反復される。フィーチャの最後のエッチングが80秒間(ステップ312)実行される。サイクルは完了し(ステップ316)、フォトレジストが剥離される(ステップ320)。したがってこの例では、堆積(ステップ308)およびエッチング(ステップ312)サイクルは、5サイクル実行される。
この同じシーケンスの他の記述は以下のように書ける。すなわち、50秒BARCエッチング+10秒堆積+4×(25秒エッチング+10秒堆積)+80秒エッチングである。
この例では、保護層は好ましくは、マスクおよびフィーチャの側壁上に形成され、それにより保護層が、フィーチャの底部上よりもマスクおよびフィーチャの側壁上ではより厚くなるか、またはフィーチャの底部においては保護層が全く形成されない。
より具体的に条件をプロセスに適合させるためにサイクル間で異なる条件が用いられえる。追加のプロセスがそれぞれのサイクルに付加されえる。この例では処理チャンバは、Exelan DFC 2300であるが、他の変更されたエッチングシステムも用いられえる。
図6は、SiO2層604の顕微鏡写真であり、これは、保護層およびエッチングプロセスの本発明の堆積を用いて、193フォトレジストマスクでマスキングされて高アスペクト比コンタクト(HARC)エッチング608を形成した。図7は、SiO2層704の顕微鏡写真であり、これは、保護層およびエッチングプロセスの本発明の堆積を用いることなく、193フォトレジストマスクでマスキングされて高アスペクト比コンタクト(HARC)エッチング708を形成した。図6および図7を比較することによってわかるように、堆積およびエッチングの本発明のプロセスは、コンタクトがより円形である、フォトレジストマスクからの所望のパターン転写を提供する(オリジナルのマスクパターンは、円形ホールのアレイである)。一方、フォトレジストのための保護層の堆積なしでエッチングする従来技術の方法は、オリジナルパターンの歪みを生じ、これは、コンタクトホールのより不規則な形状によって示されるように誘電体層において明らかであり、これは許容できない。フォトレジストおよびフィーチャの側壁上の保護層はまた、ある種のエッチングプロセスで見られるストリエーションを防ぎえる。
本発明は、スタックされたマスクの使用よりもよりコスト効果の高いプロセスを提供するが、これはスタックされたフォトレジストマスクの作成がより複雑だからである。本発明はまた、スタックされたマスクプロセスよりもよりよいエッチング結果をより安価に提供しえる。
エッチングされるべき層は、誘電体層(シリコン酸化物のような)、導電層(金属およびシリコンまたは他のタイプの半導体のような)、またはハードマスク層(窒化シリコンおよび酸窒化シリコン)でありえる。導電層をエッチングするには、塩素、フッ素、または臭素のようなハロゲンがエッチングステップにおいて用いられえ、ここで堆積は、Cが豊富な薄膜またはSiを含む薄膜を堆積するのに用いられる化学物質を含みえる。
本発明の好ましい実施形態において、堆積ガスの成分の一部は、エッチングガスの成分と混ぜられないことが望ましいが、これは混合されることが、別個の堆積およびエッチングプロセスを有する効率を低下させるからである。その結果、コントローラは、ガスフローの時間を計ることによって、一つのガスが除去されてから他のガスが追加されるようにしなければならない。
好ましい実施形態において、エッチングガス源からのエッチング剤ガスは、堆積ステップ中にはプラズマ処理チャンバには供給されず、堆積ガス源からの堆積ガスは、エッチングステップ中にはプラズマ処理チャンバには供給されない。これは、エッチングガスまたは堆積ガスの成分を供給しないことによってなされえる。例えば、酸素または酸素を含むガスは、エッチングガスの重要なエッチング成分である。C46もエッチング剤ガス中で用いられるが、エッチングは、この例では酸素なしではC46によっては達成されえない。よってC46が堆積中に供給されるとしても、酸素または酸素を含むガスを堆積ステップ中に供給しないことは、堆積ステップ中にエッチングガスを提供しない方法である。保護層コーティングを形成する堆積プロセスが、非エッチングであるか、または多くとも無視できるくらいのエッチングに過ぎない(10%未満の層しかエッチングされない)こともまた好ましい。このような堆積プロセスは、以下に限定されないが、CVD堆積またはスパッタリングでありえ、CVDおよびスパッタリングはエッチングのために用いられないからである。もし堆積ガスがエッチングステップのポリマーフォーマーと同じであるなら、堆積ガスはエッチングステップ中で供給されえる。このような場合、堆積ステップおよびエッチングステップの一つの差は、エッチングガスのエッチング成分は、エッチングステップのあいだしか存在しないことである。加えて、エッチングステップのあいだのバイアス電力は、方向性エッチングを提供するためにより高くなりえる。
別個の堆積ステップを与えること、およびエッチングステップ中に重合反応を与えるためにポリマーフォーマーが存在することは、より高いエッチングレートおよびより良い異方性エッチングのために、より高いエネルギーのエッチングイオンの使用を可能にする。
保護膜形成ガスをエッチング混合物中に維持することによって、エッチングマスクの許容できないエロージョンおよび損傷なしに、より高いイオンエネルギーを用いることが可能である。さらにエッチングステップの期間のあいだに異方性エッチングが達成されえる。別個の保護膜形成ステップを用いることによって、例えば、エッチング混合物によって作られるものよりもより堅く、より耐久性のあるコーティングを形成する保護膜形成化学混合物を選択することによって、プロファイルおよびマスク保護が最適化されえるが、これは、放電中のエッチングおよび抑制ガスの相互作用は、コーティングの品質を低下しえるからである。さらに圧力および濃度のような保護膜形成化学条件は、組成、厚さのような保護コーティングの特性を最適化するように調整されえる。
したがって独立した保護膜形成およびエッチング−保護膜形成ステップを持つことによって、温度、電力、圧力、イオンエネルギー、および処理ガスのような処理条件は、最適化されたコーティングおよび最適化されたエッチングを提供するため、それぞれのステップのために最適化された条件を提供するよう独立に制御されえる。
アルゴンの代わりに他の不活性ガスが、エッチングおよび堆積の両方のあいだにキャリヤガスとして用いられえる。他の不活性ガスの例はネオンである。
本発明の実施形態において、プラズマ(電気放電によって保持される化学物質および帯電粒子)に接触しえるチャンバ壁領域は、なるべく小さくされ、高い温度において維持される。この目的は、チャンバ壁領域上での総堆積を最小化することによって、ある処理ステップ中で形成されたチャンバ壁領域のコーティング中に含まれる化学要素が放出されて後続のステップに影響を与える、いわゆる「メモリ」効果を防ぐことである。
前駆源(precursor source)から処理チャンバへのガス移動時間は非常に短いことが望ましい。一定の所望のフローを確立する時間およびそのガスが処理チャンバにおいて完全に存在しなくなるのを確立する時間を示すガスフロー安定時間は、非常に短くされ、それによってある安定したガス混合物組成から、次のものへの遷移が非常に高速にされえる。これの目的は、パフォーマンスを低下しえる、2つの異なるステップ間の化学物質の相互混合を防ぐことにある。
電力の電気放電への変換を制御する電気システムおよび制御ネットワークは、放電条件および電力要件の変化に対して非常に高速に反応することがまた望ましい。さらに、ガス混合物の圧力およびウェーハ基板の温度のような処理チャンバの他のt外部条件を迅速に変化および安定化させることができることが望ましいかもしれない。2つの異なるステップが何回も反復されえるので、それぞれのステップを受け入れるプロセス条件は何回か変えられなければならない。そのようなプロセス条件が迅速に変えられることを可能にすることは、より速いサイクル時間を可能にし、それぞれのステップを個別に最適化するためにプロセス条件がステップ間で大きく変化されることを可能にする。したがって、処理条件の高速な変化を制御およびシンクロできる中央コンピュータ化されたシステムを持つのが望ましいかもしれない。このコンピュータは、要求された変化のためのコマンドを送り、処理チャンバ内の複数の条件変化を与えるさまざまな装置の予め決められた時間遅延とシンクロするよう用いられる。
堆積ステップは、一連の異なるコーティングステップ群を備えうる。エッチングステップは、一連の異なるエッチングステップ群を備えうる。
トレンチエッチング例
図13は、ビアファーストスキームを用いたデュアルダマシンフィーチャを形成する例の高レベルフローチャートである。まず複数のビアが形成される(ステップ1304)。このビアは、前述の例で記載された方法を用いて形成されえる。図14Aは、基板1410の一部の断面図であり、この上にトレンチパターンマスク1428が形成されている。第1コンタクト1404および第2コンタクト1406は、基板1410上の低k誘電体層1408中に配置される。以下に限定されないが典型的には窒化シリコンまたは炭化シリコンである誘電体バリア層1412が、銅拡散を防ぐために第1および第2コンタクト1404、1406上に配置されえる。低k誘電体層1420は、バリア層1412上に配置された。第1ビア1440および第2ビア1444は、低k誘電体層1420中へとエッチングされている。部分プラグ1448がビアの底部において形成されえる(ステップ1308)。これはオプションのステップである。ある実施形態においては、この部分プラグを形成するステップは省略されえる。トレンチパターン付きマスク1428が基板上に形成される(ステップ1312)。
低k誘電体層1420は、トレンチエッチングサイクル1314を経る。トレンチエッチングサイクル1314は、プラズマ堆積でビアの側壁上に保護層を堆積するステップ(ステップ1316)、およびマスクを通してエッチングするステップ(ステップ1312)を備える。このサイクルは、エッチングの最後(ステップ1324)まで反復される。好ましい実施形態において、トレンチエッチングサイクルは、少なくとも1回反復される。より好ましくはトレンチエッチングサイクルは、少なくとも3回反復される。最も好ましくはトレンチエッチングサイクルは、少なくとも5回反復される。より多い回数のサイクルは、それぞれのサイクルについてより薄い側壁が与えられることを可能にし、フェンシング低下および低減されたファセッティングを可能にする。図14Bは、保護層1452が堆積された後の基板1410の一部の断面図である。好ましくは保護層の堆積は、等方性見通し線プラズマ堆積(isotropic line-of-sight plasma deposition)であり、この実施形態においてはより厚い層をマスクの上部表面上に形成し、ビアの側壁上にはより薄い層を形成する。最も好ましくは、堆積は表面反応タイプであり、この場合、堆積された保護層はコンフォーマルであり、すなわち側壁表面と同様、上部にも等しい厚さを有する。好ましくは、堆積プロセスはまた、イオンアシストされたエッチングまたはスパッタリングのような方向性除去メカニズムを含み、その堆積プロセスを適用する実質的な効果は、保護コーティングを垂直な側壁表面上に生じ、トレンチエッチングプロセスの進行を妨げえる堆積をわずかしか水平表面上に生じないか、または堆積を全く生じない。堆積プロセスはまた十分に高いイオンエネルギーを持つことによって、選択的側壁保護を実現し、すなわち保護コーティングが垂直プロファイル側壁上にしか形成せず、エッチングされるべき誘電体層の水平エッチング前面表面には形成しないことがしたがって好ましい。保護コーティングは、マスクパターンの水平上部表面上に形成されえるが、これは化学物質が注意深く選択されて、誘電体層に対して反応性があるが、マスク層に対しては反応性を持たないからである。図14Cは、マスクを通したトレンチのエッチングステップ(ステップ1320)の後の基板1410の一部の断面図である。ビア側壁の一部または全てがエッチングで除去されている。好ましい実施形態においては、エッチングは高イオンエネルギー方向性異方性エッチングである。
トレンチが部分的にエッチングされた後、もしエッチングが続くべきである(ステップ1324)なら、プロセスサイクルは、ステップ1316に戻り、ここで他の保護層1460が堆積され、図14Dに示されるようにこれは再びビア中に保護側壁を形成する。トレンチのさらなるエッチングが実行され(ステップ1320)、図14Eに示されるようにトレンチ1456がさらに深くされる。このサイクルは、トレンチが所望の深さまでエッチングされるまで継続される(ステップ1324)。それから残りの保護層およびマスクが剥離される(ステップ1328)。
この実施形態は、ファセッティングを防ぐ側壁保護を提供する。ファセッティングを防ぐための高いプラグに対する必要性はなくなりえる。より短いプラグは、バリア層の開口を防ぐために用いられえる。しかし実施形態によっては全てのプラグを省略しえる。
レシピ
本発明の具体的な例では、Coral(商標)層がエッチングされることによって、ビアファースト法(via first method)を用いてデュアルダマシンフィーチャを形成する。ビアは、まずCoral内へエッチングされる(ステップ1304)。この例では、部分的なプラグは形成されない。フォトレジストトレンチパターンマスクがCoral層上に形成される(ステップ1312)。反射防止層または他の層がCoral層およびフォトレジストマスクの間に配置されえる。このような場合、それら中間層を開けるためにさまざまなエッチングステップが追加されえる。
ビアの側壁上への保護層の堆積(ステップ1316)は、Exelan DFC 2300内で、80ミリトールの圧力において、27MHzにおいて印加される400ワットおよび2MHzにおいて印加される0ワットにおいて実行される。堆積化合物は、アルゴン600sccm、H280sccm、およびCF460sccmである。上部電極は、25℃の温度に設定される。チャックは20℃の温度に設定される。ヘリウムのバックサイド内側ゾーンチャック圧力は15トールに設定される。バックサイド外側ゾーンチャック圧力は15トールに設定される。このステップは45秒のあいだ行われる。
Coral(商標)層へのトレンチのエッチングは、Exelan DFC 2300内で、80ミリトールの圧力において、27MHzにおいて印加される800ワットおよび2MHzにおいて印加される0ワットにおいて実行される。エッチング化合物は、アルゴン200sccm、CF460sccm、CHF320sccm、およびO210sccmである。CF4は、ポリマーフォーマーガスであり、これは重合反応をエッチングのあいだに行う。O2は、エッチングイネーブラーガス(etching enabler gas)である。CF4からのフッ素がエッチング中に用いられるが、フッ素はこの例ではエッチングを可能にするために酸素の存在を必要とする。上部電極は、25℃の温度に設定される。チャックは20℃の温度に設定される。ヘリウムのバックサイド内側ゾーンチャック圧力は15トールに設定される。バックサイド外側ゾーンチャック圧力は15トールに設定される。
トレンチエッチングガスは、少なくとも1つのエッチングガス成分と共に、少なくとも1つのポリマーフォーマーガス成分を含まなければならない。ポリマーフォーマーガスは、垂直トレンチプロファイルを異方性エッチングで生じるよう、トレンチ側壁表面上の側壁保護を行うために必要とされる。トレンチ垂直表面はトレンチエッチング期間のあいだ連続的に開放されており、よってトレンチエッチング期間のあいだは保護されなければならず、一方、ビア垂直表面はトレンチエッチングが開始される前に開放されており、これはトレンチおよびビア垂直側壁がかなり異なるからであることに注意されたい。トレンチプロファイル、マスクおよび下部層の選択性などの特性を利するために、中程度から高度のイオンエネルギーがやはり好ましい。このエッチングの例では、800W、27MHzのRF電力は、200eVより大きいイオン衝突エネルギーを提供する。マイクロトレンチング、アスペクト比依存性などのようなトレンチエッチング特性に対するその効果によっては、より高いイオンエネルギーでさえ可能である。
保護層の堆積(ステップ1316)は、45秒のあいだ行われる。次に、このトレンチが30秒のあいだエッチングされる(ステップ1320)。保護層の堆積(ステップ1316)およびトレンチのエッチング(ステップ308)は、何回も反復されえ、それは3300Åのトレンチエッチングを提供しえる。
図15Aは、この例によってエッチングされたデュアルダマシンフィーチャの断面図の顕微鏡写真である。図15Bは、デュアルダマシンフィーチャの上面および側面透視図である。ビア1504は、Coral層1508中へとエッチングされる。トレンチ1512もCoral層へとエッチングされる。この例は部分的プラグを用いなかったので、プラグはビアの中になく、したがってプラグを除去する追加のステップも必要とされない。少量のファセッティング1516が見られるが、ビアおよびトレンチはうまく形成されている。顕微鏡写真はまた、この例でのフェンシングが大幅に低減されたか、またはなくされたことを示す。
図8Aおよび8Bは、コントローラ535として用いるのに適したコンピュータシステム800を示す。図8Aは、コントローラ535のために用いられえるコンピュータシステムの一つの可能な物理的形態を示す。もちろんコンピュータシステムは、集積回路、プリント基板、および小型携帯機器から、大型のスーパーコンピュータに至るまで多くの物理的形態をとりえる。コンピュータシステム800は、モニタ802、ディスプレイ804、筐体806、ディスクドライブ808、キーボード810、およびマウス812を含む。ディスク814は、データをコンピュータシステム800に転送し、かつデータをコンピュータシステム800から転送するために用いられるコンピュータで読み取り可能な媒体である。
図8Bは、コンピュータ800のブロック図の例である。システムバス820に接続されているのは、さまざまなサブシステムである。単一または複数のプロセッサ822(中央処理装置群、すなわちCPU群とも呼ばれる)は、メモリ824を含む記憶装置に結合されている。メモリ824は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。この技術ではよく知られるようにROMは、データおよび命令を単一方向にCPUおよびRAMに転送するようにはたらき、RAMは、典型的にはデータおよび命令を双方向に転送するのに用いられる。メモリのこれら両方のタイプは、以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク826はまた、双方向でCPU822に結合され、追加のデータ記憶容量を提供し、また以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク826は、プログラム、データなどを記憶するのに用いられえて、典型的には一次記憶よりも低速な二次記憶媒体(ハードディスクのような)である。固定ディスク826内に保持された情報は、適切な場合においては、メモリ824の仮想メモリとして標準的なかたちで統合されえることが理解されよう。取り外し可能なディスク814は、以下に説明するコンピュータ読み出し可能な媒体のいかなる形態をも取りえる。
CPU822はまた、ディスプレイ804、キーボード810、マウス812およびスピーカ830のようなさまざまな入力/出力装置に結合される。一般に入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチパネルディスプレイ、トランスデューサカードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識機、生体情報読み取り機、または他のコンピュータのいずれでもよい。CPU822は追加で、ネットワークインタフェース840を用いて他のコンピュータまたは通信ネットワークに結合されてもよい。そのようなネットワークインタフェースによりCPUは、上述の方法ステップを実行する過程で、ネットワークから情報を受け取り、または情報をネットワークに出力してもよい。さらに本発明の方法の実施形態は、CPU822上だけで実行されてもよく、またはインターネットのようなネットワーク上で、処理の一部を担当する遠隔地にあるCPUと協働して実行されてもよい。
さらに本発明の実施形態は、コンピュータによって実現できるさまざまな操作を実行するコンピュータコードを格納した、コンピュータによって読み出し可能な媒体を持つコンピュータ記憶製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計され構築されたものでもよく、またはコンピュータソフトウェア技術の当業者に既知の利用可能なものであってもよい。コンピュータ読み出し可能な媒体の例としては、これらに限定はされないが、ハードディスク、フレキシブルディスク、および磁気テープのような磁気媒体、CD−ROMおよびホログラフィックデバイスのような光媒体、フロプティカルディスクのような光磁気媒体、特定アプリケーション向け集積回路(ASIC)、プログラム可能な論理デバイス(PLD)、およびROMおよびRAMデバイスのように、プログラムコードを記憶し実行するために特別に構成されたハードウェアデバイスが挙げられる。コンピュータコードの例としては、コンパイラによって生成される機械語、およびインタープリタを用いてコンピュータによって実行可能なより高いレベルのコードを含むファイルが挙げられる。コンピュータで読み取り可能な媒体は、また、搬送波において実現され、プロセッサによって実行可能な命令群のシーケンスを表現する、コンピュータデータ信号によって伝送されるコンピュータコードでありえる。
本発明は、いくつかの好ましい実施形態について記載されてきたが、本発明の範囲に入る改変、組み合わせ、および代替等価物が存在する。本発明の装置および方法を実現する多くの代替手法が存在することに注意されたい。したがって、添付の特許請求の範囲は、このような全ての改変、組み合わせ、および代替等価物を本発明の真の精神および範囲に入るものとして解釈されるべきであると意図される。
従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 他の従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 他の従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 他の従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 他の従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 他の従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 他の従来技術のプロセスを通したコンタクトホールフィーチャの形成の概略図である。 本発明の保護膜形成およびエッチングプロセスのフローチャートである。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明を実施するのに用いられえるシステムの概略図である。 本発明を用いて形成される複数の高アスペクト比コンタクトホールパターンの顕微鏡写真である。 従来技術のプロセスを用いて形成される複数の高アスペクト比コンタクトホールパターンの顕微鏡写真である。 本発明を実施するのに用いられえるコンピュータシステムの概略図である。 本発明を実施するのに用いられえるコンピュータシステムの概略図である。 従来技術を用いてデュアルダマシンが形成されるスタックの断面図である。 従来技術を用いてデュアルダマシンが形成されるスタックの断面図である。 従来技術を用いてデュアルダマシンが形成されるスタックの断面図である。 従来技術を用いてデュアルダマシンが形成されるスタックの断面図である。 従来技術を用いてデュアルダマシンが形成されるスタックの断面図である。 従来技術を用いてデュアルダマシンが形成されるスタックの断面図である。 デュアルダマシン構造を形成するために従来技術で用いられるプロセスの高レベルのフローチャートである。 従来技術のプロセスによるトレンチストップ層なしで、低k誘電体を用いるデュアルダマシン構造の製造の一部の断面図である。 従来技術のプロセスによるトレンチストップ層なしで、低k誘電体を用いるデュアルダマシン構造の製造の一部の断面図である。 他の従来技術のプロセスによるトレンチストップ層なしで、低k誘電体を用いるデュアルダマシン構造の製造の一部の断面図である。 他の従来技術のプロセスによるトレンチストップ層なしで、低k誘電体を用いるデュアルダマシン構造の製造の一部の断面図である。 ビアファーストスキームを用いてデュアルダマシンフィーチャを形成する例の高レベルのフローチャートである。 デュアルダマシン構造が形成される基板の一部の断面図である。 デュアルダマシン構造が形成される基板の一部の断面図である。 デュアルダマシン構造が形成される基板の一部の断面図である。 デュアルダマシン構造が形成される基板の一部の断面図である。 デュアルダマシン構造が形成される基板の一部の断面図である。 本発明によってエッチングされたデュアルダマシンフィーチャの断面図の顕微鏡写真である。 デュアルダマシンフィーチャの上面および側面透視図である。

Claims (55)

  1. エッチングマスクを通して層内にフィーチャをエッチングする方法であって、
    前記エッチングマスクの曝露された表面、および前記フィーチャの垂直側壁上に保護コーティングを保護膜形成ガス混合物で形成すること、および
    前記フィーチャを前記エッチングマスクを通して、少なくとも1つのエッチング化学物質および少なくとも1つの保護膜形成化学物質を含む反応性エッチング混合物でエッチングすること
    を含む方法。
  2. 請求項1に記載の方法であって、前記エッチングは、200電子ボルトより大きいイオン衝突エネルギーを前記基板に供給することを含む方法。
  3. 請求項1から2のいずれかに記載の方法であって、前記エッチング化学物質は、ポリマーフォーマーおよびエッチングイネーブラーを含む方法。
  4. 請求項1から3のいずれかに記載の方法であって、前記保護膜形成およびエッチングは、共通のプラズマ処理チャンバ内で実行される方法。
  5. 請求項1から4のいずれかに記載の方法であって、前記堆積は非方向性堆積を用い、前記エッチングステップは方向性エッチングを用いる方法。
  6. 請求項1から5のいずれかに記載の方法であって、前記保護膜形成は非エッチングまたは無視できる程度のエッチング堆積である方法。
  7. 請求項1から6のいずれかに記載の方法であって、前記堆積プロセスは、化学気相成長およびスパッタリングのうちの少なくとも1つから選択される方法。
  8. 請求項1から7のいずれかに記載の方法であって、前記層は、単一の層だけであり、前記フィーチャは、前記保護コーティングを形成し、前記フィーチャをエッチングするあいだに前記単一の層内でだけエッチングされ、前記保護コーティングを形成し、エッチングすることは、順次交互に少なくとも4回実行される方法。
  9. 請求項1から8のいずれかに記載の方法であって、前記エッチングマスクは、193nmまたはそれより下の世代のフォトレジストマスクである方法。
  10. 請求項1から9のいずれかに記載の方法であって、前記保護コーティングは、前記エッチングマスクの前記曝露された領域および前記フィーチャの前記垂直側壁上に優先的に形成される方法。
  11. 請求項1から10のいずれかに記載の方法であって、前記保護膜形成ガス混合物は、前記層に対し前記マスク材料よりも化学的反応性がより高い重合化物質を放出する方法。
  12. 請求項10から11のいずれかに記載の方法であって、方向性エネルギーを持つイオンは、前記保護コーティングの前記形成の前記ステップのあいだ、堆積が前記層の前記水平表面において蓄積することを前記層の前記表面上のコーティングを選択的に除去するメカニズムを起動することによって優先的に防ぐ方法。
  13. 請求項1から12のいずれかに記載の方法であって、保護膜形成ガス混合物は、F:C比が2:1より小さいフルオロ置換炭化水素である方法。
  14. 請求項1から13のいずれかに記載の方法であって、前記保護膜形成化学物質のうちの少なくとも1つは、CH3F、CH22、C25F、C242、C37F、C362、C23F、CH4、C26、C24、C38、C22のうちの1つである方法。
  15. 請求項1から14のいずれかに記載の方法であって、前記保護膜形成ガス混合物は、ArおよびCH3Fの混合物である方法。
  16. 請求項1から15のいずれかに記載の方法であって、前記保護膜形成ステップにおいて供給される前記イオンエネルギーは、100電子ボルトより大きい方法。
  17. 請求項1から16のいずれかに記載の方法であって、前記エッチング化学物質のうちの少なくとも1つはC46である方法。
  18. 請求項1から17のいずれかに記載の方法であって、前記放電のために用いられる前記RF周波数のうちの少なくとも1つは、2MHz、27MHzおよび60MHzのうちの1つである方法。
  19. 請求項1から18のいずれかに記載の方法であって、前記RF放電周波数は、400kHzから13.56MHzの範囲のより低い周波数、および27MHzから120MHzの範囲のより高い周波数の組み合わせからなる方法。
  20. エッチングマスク下の層をエッチングする装置であって、前記層は基板によって支持され、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁、
    前記プラズマ処理チャンバ容器内で基板を支持する基板支持部、
    前記プラズマ処理チャンバ容器内の圧力を制御する圧力レギュレータ、
    プラズマを維持するために電力を前記プラズマ処理チャンバ容器に供給する少なくとも1つの電極、
    前記プラズマ処理チャンバ容器へガスを供給するガス入り口、および
    前記プラズマ処理チャンバ容器からガスを排気するガス出口
    を備えるプラズマ処理チャンバ、
    堆積ガス源、
    エッチング剤ガス源、
    前記プラズマ処理チャンバの前記ガス入り口および前記堆積ガス源間で流体的に接続された第1制御バルブ、
    前記プラズマ処理チャンバの前記ガス入り口および前記エッチング剤ガス源間で流体的に接続された第2制御バルブ、
    前記第1制御バルブ、前記第2制御バルブ、および前記少なくとも1つの電極に制御可能に接続されたコントローラであって、
    少なくとも1つのプロセッサ、および
    コンピュータで読み取り可能な媒体であって、
    少なくとも1つの堆積ステップのあいだ前記第1制御バルブを開いて、前記堆積ガス源から堆積ガスを前記プラズマ処理チャンバ容器に供給するコンピュータによって読み取り可能なコード、
    前記少なくとも1つの堆積ステップのあいだ前記第2制御バルブを閉じて、前記エッチング剤ガス源からエッチングガスが前記プラズマ処理チャンバ容器に入ることを防ぐコンピュータによって読み取り可能なコード、
    少なくとも1つのエッチングステップのあいだ前記第2制御バルブを開いて、前記エッチングガス源からエッチングガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコード、および
    前記少なくとも1つの電極に電力を供給して、前記少なくとも1つのエッチングステップのあいだ前記基板上に250ボルトより大きいバイアスを供給するコンピュータによって読み取り可能なコード
    を含むコンピュータで読み取り可能な媒体
    を備えるコントローラ
    を備える装置。
  21. 請求項20に記載の装置であって、
    保護膜形成ガス源、および
    前記プラズマ処理チャンバの前記ガス入り口および前記保護膜形成ガス源間で流体的に接続された第3制御バルブ
    をさらに備え、
    前記コンピュータで読み取り可能な媒体は、前記少なくとも1つのエッチングステップのあいだ第3制御バルブを開いて、前記保護膜形成ガス源から保護膜形成ガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコードをさらに含む
    装置。
  22. 請求項20から21のいずれかに記載の装置であって、前記コンピュータで読み取り可能な媒体は、前記少なくとも1つの堆積ステップ、および少なくとも1つのエッチングステップを交互に複数回実行するコンピュータによって読み取り可能なコードをさらに含む装置。
  23. デュアルダマシンフィーチャを形成する方法であって、
    エッチング層内にビアを形成すること、
    前記エッチング層上にトレンチパターン付きマスクを作ること、
    トレンチをエッチングすることであって、前記トレンチを前記エッチングすることは、
    前記ビアの前記側壁上に保護側壁を形成すること、および
    前記トレンチパターン付きマスクを通してエッチングすること
    のサイクルを含む、トレンチをエッチングすること、および
    前記マスクを剥離すること
    を含む方法。
  24. 請求項23に記載の方法であって、前記トレンチサイクルは、少なくとも3回反復される方法。
  25. 請求項23に記載の方法であって、前記トレンチエッチングサイクルは少なくとも5回反復される方法。
  26. 請求項23から25のいずれかに記載の方法であって、前記保護側壁を形成すること、およびエッチングは、共通のプラズマ処理チャンバ内で実行される方法。
  27. 請求項23から26のいずれかに記載の方法であって、前記保護側壁を形成することは非方向性堆積を用い、前記エッチングステップは方向性エッチングを用いる方法。
  28. 請求項23から27のいずれかに記載の方法であって、前記ウェーハは、前記堆積ステップのあいだ100eVより大きいイオンエネルギーを持つエネルギー性イオンによって衝突される方法。
  29. 請求項23から28のいずれかに記載の方法であって、前記保護膜形成は非エッチングまたは無視できる程度のエッチング堆積である方法。
  30. 請求項23から29のいずれかに記載の方法であって、前記堆積は、H2、CH3F、CH22、CHF3、C46、C48のうちの少なくとも1つを前記ポリマーフォーマーとして含み、CF4、C26、およびNF3のうちの少なくとも1つを前記エッチングガスとして含むガス混合物を用いる方法。
  31. 請求項23から30のいずれかに記載の方法であって、前記堆積ステップは、CF4およびH2を含む混合物を用いる方法。
  32. 請求項31に記載の方法であって、前記CF4対H2のガスフロー比は、体積フロー比で0.6:1から1.4:1の範囲にある方法。
  33. 請求項23から32のいずれかに記載の方法であって、前記保護側壁を形成することは、化学気相成長およびスパッタリングのうちの少なくとも1つから選択される方法。
  34. 請求項23から33のいずれかに記載の方法であって、前記エッチング層は、低k誘電体材料である方法。
  35. 請求項23から34のいずれかに記載の方法であって、前記ビアホールは、前記トレンチプラズマエッチングプロセスの開始の前に犠牲フィラー材料で埋められない方法。
  36. 請求項23から35に記載の方法であって、前記トレンチプラズマエッチングプロセスの開始の前に前記ビアホールは、前記ビアホール高の50%より大きくないフィラー材料で埋められる方法。
  37. 請求項23から36のいずれかに記載の方法によって形成される半導体。
  38. 請求項23から36のいずれかに記載の方法を実行する装置。
  39. エッチングマスク下の層をエッチングする装置であって、前記層は基板によって支持され、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁、
    前記プラズマ処理チャンバ容器内で基板を支持する基板支持部、
    前記プラズマ処理チャンバ容器内の圧力を制御する圧力レギュレータ、
    プラズマを維持するために電力を前記プラズマ処理チャンバ容器に供給する少なくとも1つの電極、
    前記プラズマ処理チャンバ容器へガスを供給するガス入り口、および
    前記プラズマ処理チャンバ容器からガスを排気するガス出口
    を備えるプラズマ処理チャンバ、
    堆積ガス源、
    エッチング剤ガス源、
    前記プラズマ処理チャンバの前記ガス入り口および前記堆積ガス源間で流体的に接続された第1制御バルブ、
    前記プラズマ処理チャンバの前記ガス入り口および前記エッチング剤ガス源間で流体的に接続された第2制御バルブ、
    前記第1制御バルブ、前記第2制御バルブ、および前記少なくとも1つの電極に制御可能に接続されたコントローラであって、
    少なくとも1つのプロセッサ、および
    コンピュータで読み取り可能な媒体であって、
    少なくとも1つの堆積ステップのあいだ前記第1制御バルブを開いて、前記堆積ガス源から堆積ガスを前記プラズマ処理チャンバ容器に供給するコンピュータによって読み取り可能なコード、
    前記少なくとも1つの堆積ステップのあいだ前記第2制御バルブを閉じて、前記エッチング剤ガス源からエッチングガスが前記プラズマ処理チャンバ容器に入ることを防ぐコンピュータによって読み取り可能なコード、
    少なくとも1つのエッチングステップのあいだ前記第2制御バルブを開いて、前記エッチングガス源からエッチングガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコード
    を含むコンピュータで読み取り可能な媒体
    を備えるコントローラ
    を備える装置。
  40. 請求項39に記載の装置であって、前記コンピュータで読み取り可能な媒体は、前記少なくとも1つの堆積ステップ、および少なくとも1つのエッチングステップを交互に複数回実行するコンピュータによって読み取り可能なコードをさらに含む装置。
  41. 請求項39から40のいずれかに記載の装置であって、前記エッチング剤ガス源は、エッチングガス成分源およびポリマーフォーマーガス成分を含む装置。
  42. 請求項1から19のいずれかに記載の方法であって、前記層は、単一の層だけであり、前記フィーチャは、前記保護コーティングを形成し、前記フィーチャをエッチングするあいだに前記単一の層内でだけエッチングされる方法。
  43. 請求項1から19および42のいずれかに記載の方法であって、前記保護コーティングを前記形成することは、前記フィーチャの底部上に保護コーティングを作らない方法。
  44. 請求項1から19、および42から43のいずれかに記載の方法であって、前記保護コーティングを形成することは、選択的化学気相成長を用いて達成され、前記化学気相成長は、前記保護コーティングを前記エッチングマスクの曝露された表面、および前記フィーチャの垂直側壁上に形成するが、前記フィーチャの底部には形成しない方法。
  45. 請求項1から19、42から44のいずれかに記載の方法であって、前記エッチングマスクはフォトレジストマスクであり、前記保護コーティングを形成することは、前記エッチングマスクよりもよりエッチング耐性のある保護コーティングを形成する方法。
  46. 請求項45に記載の方法であって、前記保護コーティングを前記形成することは、アモルファスカーボンの保護コーティングを形成する方法。
  47. 請求項45に記載の方法であって、前記保護コーティングを前記形成することは、ポリアモルファスシリコンの保護コーティングを形成する方法。
  48. 請求項45に記載の方法であって、前記保護コーティングを前記形成することは、疑似ハードマスクを形成し、前記保護コーティングを前記形成することは、前記フィーチャの底部には保護コーティングを形成しない方法。
  49. 請求項1から19、および42から48のいずれかに記載の方法であって、
    前記層内にビアを形成すること、
    前記エッチングマスクを提供すること
    をさらに含む方法であって、
    前記エッチングマスクは、前記層上のトレンチパターン付きマスクであり、
    前記フィーチャはトレンチである
    方法。
  50. 請求項1から19、および42から49のいずれかに記載の方法であって、前記保護側壁を前記形成することは、H2、CH3F、CH22、CHF3、C46、C48のうちの少なくとも1つを前記ポリマーフォーマーとして含み、CF4、C26、およびNF3のうちの少なくとも1つを前記エッチングガスとして含むガス混合物を用いる方法。
  51. 請求項1から19、および42から50のいずれかに記載の方法であって、前記堆積ステップは、CF4およびH2を含む混合物を用いる方法。
  52. 請求項51に記載の方法であって、前記CF4対H2のガスフロー比は、体積フロー比で0.6:1から1.4:1の範囲にある方法。
  53. 請求項1から19、および42から52のいずれかに記載の方法であって、前記エッチング層は、低k誘電体材料である方法。
  54. 請求項1から19、および42から53のいずれかに記載の方法であって、前記ビアホールは、前記トレンチプラズマエッチングプロセスの開始の前に犠牲フィラー材料で埋められない方法。
  55. 請求項1から19、および42から54に記載の方法であって、前記トレンチプラズマエッチングプロセスの開始の前に前記ビアホールは、前記ビアホール高の50%より大きくないフィラー材料で埋められる方法。
JP2005501104A 2002-10-11 2003-10-06 プラズマエッチングのパフォーマンスを改善する方法 Expired - Fee Related JP5019748B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US41780602P 2002-10-11 2002-10-11
US60/417,806 2002-10-11
US10/295,601 US6833325B2 (en) 2002-10-11 2002-11-14 Method for plasma etching performance enhancement
US10/295,601 2002-11-14
US10/674,675 2003-09-29
US10/674,675 US7169695B2 (en) 2002-10-11 2003-09-29 Method for forming a dual damascene structure
PCT/US2003/031712 WO2004034445A2 (en) 2002-10-11 2003-10-06 A method for plasma etching performance enhancement

Publications (3)

Publication Number Publication Date
JP2006514783A true JP2006514783A (ja) 2006-05-11
JP2006514783A5 JP2006514783A5 (ja) 2006-12-07
JP5019748B2 JP5019748B2 (ja) 2012-09-05

Family

ID=32096823

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005501104A Expired - Fee Related JP5019748B2 (ja) 2002-10-11 2003-10-06 プラズマエッチングのパフォーマンスを改善する方法

Country Status (6)

Country Link
US (1) US7169695B2 (ja)
EP (1) EP1550153A2 (ja)
JP (1) JP5019748B2 (ja)
KR (1) KR101029947B1 (ja)
AU (1) AU2003282718A1 (ja)
WO (1) WO2004034445A2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008258624A (ja) * 2007-04-03 2008-10-23 Samsung Electronics Co Ltd 半導体素子のエッチング装置及び方法
WO2009123038A1 (ja) * 2008-03-31 2009-10-08 日本ゼオン株式会社 プラズマエッチング方法
JP2010500758A (ja) * 2006-08-07 2010-01-07 東京エレクトロン株式会社 エッチング処理を実行する前のマスク層処理方法
JP2010530643A (ja) * 2007-06-18 2010-09-09 ラム リサーチ コーポレーション ディープシリコンエッチングにおけるマスクアンダカットの最小化
WO2012121081A1 (ja) * 2011-03-07 2012-09-13 みずほ情報総研株式会社 プラズマプロセスによる加工形状の予測シミュレーション装置とシミュレーションの方法及びプログラム
US8524331B2 (en) 2009-11-16 2013-09-03 Tokyo Electron Limited Substrate processing method
KR20140016912A (ko) 2011-03-29 2014-02-10 제온 코포레이션 플라즈마 에칭 가스 및 플라즈마 에칭 방법
KR101468213B1 (ko) * 2006-08-22 2014-12-03 램 리써치 코포레이션 플라즈마 에칭 성능 강화 방법
KR20180099565A (ko) 2017-02-28 2018-09-05 도쿄엘렉트론가부시키가이샤 처리 방법 및 플라즈마 처리 장치
KR20210083323A (ko) * 2019-02-11 2021-07-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 보호층의 인시튜 형성에 의한 신규한 에칭 방법
JP2022161940A (ja) * 2020-09-18 2022-10-21 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0952617B1 (en) * 1993-04-28 2004-07-28 Nichia Corporation Gallium nitride-based III-V group compound semiconductor device
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7728252B2 (en) * 2004-07-02 2010-06-01 Ulvac, Inc. Etching method and system
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7090782B1 (en) * 2004-09-03 2006-08-15 Lam Research Corporation Etch with uniformity control
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
SG128529A1 (en) * 2005-06-28 2007-01-30 United Microelectronics Corp Method for eliminating bridging defect in vial first dual damascene process
US7238619B2 (en) * 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7569478B2 (en) * 2005-08-25 2009-08-04 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
JP4507120B2 (ja) 2005-11-11 2010-07-21 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7300878B1 (en) * 2006-05-25 2007-11-27 Texas Instruments Incorporated Gas switching during an etch process to modulate the characteristics of the etch
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7758763B2 (en) 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080146034A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. Method for recess etching
US7838432B2 (en) * 2007-04-16 2010-11-23 Applied Materials, Inc. Etch process with controlled critical dimension shrink
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
JP5839689B2 (ja) * 2011-02-28 2016-01-06 東京エレクトロン株式会社 プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
CN105719965A (zh) * 2014-12-04 2016-06-29 北京北方微电子基地设备工艺研究中心有限责任公司 二氧化硅基片的刻蚀方法和刻蚀设备
US9595451B1 (en) * 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
JP6784530B2 (ja) 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
WO2017170411A1 (ja) 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
CN109804459B (zh) * 2016-09-06 2023-08-04 东京毅力科创株式会社 准原子层蚀刻方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US10832950B2 (en) * 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
US11444029B2 (en) 2020-02-24 2022-09-13 International Business Machines Corporation Back-end-of-line interconnect structures with varying aspect ratios
WO2021202229A1 (en) * 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
US11776811B2 (en) * 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
WO2022005716A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing
WO2024091612A1 (en) * 2022-10-26 2024-05-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching methods with alternating non-plasma and plasma etching processes

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
JPH08236506A (ja) * 1995-02-28 1996-09-13 Sony Corp 半導体装置の製造方法
JPH08293487A (ja) * 1995-04-24 1996-11-05 Nec Corp エッチング方法
JP2000133638A (ja) * 1998-10-22 2000-05-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2000306887A (ja) * 1999-04-19 2000-11-02 Matsushita Electronics Industry Corp 基板処理方法および装置
JP2001068462A (ja) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
JP2000085652A (ja) 1998-09-11 2000-03-28 Iic:Kk ロック用アラーム装置
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6500743B1 (en) 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US20030027427A1 (en) 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
JPH08236506A (ja) * 1995-02-28 1996-09-13 Sony Corp 半導体装置の製造方法
JPH08293487A (ja) * 1995-04-24 1996-11-05 Nec Corp エッチング方法
JP2000133638A (ja) * 1998-10-22 2000-05-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2000306887A (ja) * 1999-04-19 2000-11-02 Matsushita Electronics Industry Corp 基板処理方法および装置
JP2001068462A (ja) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010500758A (ja) * 2006-08-07 2010-01-07 東京エレクトロン株式会社 エッチング処理を実行する前のマスク層処理方法
KR101346897B1 (ko) 2006-08-07 2014-01-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 시스템
KR101468213B1 (ko) * 2006-08-22 2014-12-03 램 리써치 코포레이션 플라즈마 에칭 성능 강화 방법
US8062538B2 (en) 2007-04-03 2011-11-22 Samsung Electronics Co., Ltd. Etching apparatus and method for semiconductor device
JP2008258624A (ja) * 2007-04-03 2008-10-23 Samsung Electronics Co Ltd 半導体素子のエッチング装置及び方法
JP2010530643A (ja) * 2007-06-18 2010-09-09 ラム リサーチ コーポレーション ディープシリコンエッチングにおけるマスクアンダカットの最小化
JP5494475B2 (ja) * 2008-03-31 2014-05-14 日本ゼオン株式会社 プラズマエッチング方法
WO2009123038A1 (ja) * 2008-03-31 2009-10-08 日本ゼオン株式会社 プラズマエッチング方法
US8524331B2 (en) 2009-11-16 2013-09-03 Tokyo Electron Limited Substrate processing method
WO2012121081A1 (ja) * 2011-03-07 2012-09-13 みずほ情報総研株式会社 プラズマプロセスによる加工形状の予測シミュレーション装置とシミュレーションの方法及びプログラム
JP2012186394A (ja) * 2011-03-07 2012-09-27 Mizuho Information & Research Institute Inc プラズマ加工形状シミュレーション装置及びプログラム
KR20140016912A (ko) 2011-03-29 2014-02-10 제온 코포레이션 플라즈마 에칭 가스 및 플라즈마 에칭 방법
US9296947B2 (en) 2011-03-29 2016-03-29 Zeon Corporation Plasma etching gas and plasma etching method
KR20180099565A (ko) 2017-02-28 2018-09-05 도쿄엘렉트론가부시키가이샤 처리 방법 및 플라즈마 처리 장치
US10651044B2 (en) 2017-02-28 2020-05-12 Tokyo Electron Limited Processing method and plasma processing apparatus
KR20210083323A (ko) * 2019-02-11 2021-07-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 보호층의 인시튜 형성에 의한 신규한 에칭 방법
JP2022511446A (ja) * 2019-02-11 2022-01-31 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
JP7235864B2 (ja) 2019-02-11 2023-03-08 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
US11631592B2 (en) 2019-02-11 2023-04-18 Yangtze Memory Technologies Co., Ltd. Etching process with in-situ formation of protective layer
KR102629727B1 (ko) * 2019-02-11 2024-01-25 양쯔 메모리 테크놀로지스 씨오., 엘티디. 보호층의 인시튜 형성에 의한 신규한 에칭 방법
JP2022161940A (ja) * 2020-09-18 2022-10-21 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Also Published As

Publication number Publication date
AU2003282718A8 (en) 2004-05-04
KR101029947B1 (ko) 2011-04-19
WO2004034445A3 (en) 2004-08-12
WO2004034445A2 (en) 2004-04-22
JP5019748B2 (ja) 2012-09-05
KR20050118267A (ko) 2005-12-16
AU2003282718A1 (en) 2004-05-04
US7169695B2 (en) 2007-01-30
EP1550153A2 (en) 2005-07-06
WO2004034445B1 (en) 2004-09-30
US20040072430A1 (en) 2004-04-15

Similar Documents

Publication Publication Date Title
JP5019748B2 (ja) プラズマエッチングのパフォーマンスを改善する方法
US6833325B2 (en) Method for plasma etching performance enhancement
US7977390B2 (en) Method for plasma etching performance enhancement
US6916746B1 (en) Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
IL190716A (en) Method for plasma etching
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
EP1856717A2 (en) Stabilized photoresist structure for etching process
WO2005060548A2 (en) Method of preventing damage to porous low-k materials during resist stripping
WO2009099812A2 (en) Reducing damage to low-k materials during photoresist stripping

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061004

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110322

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110621

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110922

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120221

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120313

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120612

R150 Certificate of patent or registration of utility model

Ref document number: 5019748

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150622

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees