JP2006514783A5 - - Google Patents

Download PDF

Info

Publication number
JP2006514783A5
JP2006514783A5 JP2005501104A JP2005501104A JP2006514783A5 JP 2006514783 A5 JP2006514783 A5 JP 2006514783A5 JP 2005501104 A JP2005501104 A JP 2005501104A JP 2005501104 A JP2005501104 A JP 2005501104A JP 2006514783 A5 JP2006514783 A5 JP 2006514783A5
Authority
JP
Japan
Prior art keywords
etching
processing chamber
plasma processing
gas
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005501104A
Other languages
English (en)
Other versions
JP5019748B2 (ja
JP2006514783A (ja
Filing date
Publication date
Priority claimed from US10/295,601 external-priority patent/US6833325B2/en
Priority claimed from US10/674,675 external-priority patent/US7169695B2/en
Application filed filed Critical
Publication of JP2006514783A publication Critical patent/JP2006514783A/ja
Publication of JP2006514783A5 publication Critical patent/JP2006514783A5/ja
Application granted granted Critical
Publication of JP5019748B2 publication Critical patent/JP5019748B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Claims (55)

  1. エッチングマスクを通して層内にフィーチャをエッチングする方法であって、
    前記エッチングマスクの曝露された表面、および前記フィーチャの垂直側壁上に保護コーティングを保護膜形成ガス混合物で形成すること、および
    前記フィーチャを前記エッチングマスクを通して、少なくとも1つのエッチング化学物質および少なくとも1つの保護膜形成化学物質を含む反応性エッチング混合物でエッチングすること
    を含む方法。
  2. 請求項1に記載の方法であって、前記エッチングは、200電子ボルトより大きいイオン衝突エネルギーを前記基板に供給することを含む方法。
  3. 請求項1から2のいずれかに記載の方法であって、前記エッチング化学物質は、ポリマーフォーマーおよびエッチングイネーブラーを含む方法。
  4. 請求項1から3のいずれかに記載の方法であって、前記保護膜形成およびエッチングは、共通のプラズマ処理チャンバ内で実行される方法。
  5. 請求項1から4のいずれかに記載の方法であって、前記堆積は非方向性堆積を用い、前記エッチングステップは方向性エッチングを用いる方法。
  6. 請求項1から5のいずれかに記載の方法であって、前記保護膜形成は非エッチングまたは無視できる程度のエッチング堆積である方法。
  7. 請求項1から6のいずれかに記載の方法であって、前記堆積プロセスは、化学気相成長およびスパッタリングのうちの少なくとも1つから選択される方法。
  8. 請求項1から7のいずれかに記載の方法であって、前記層は、単一の層だけであり、前記フィーチャは、前記保護コーティングを形成し、前記フィーチャをエッチングするあいだに前記単一の層内でだけエッチングされ、前記保護コーティングを形成し、エッチングすることは、順次交互に少なくとも4回実行される方法。
  9. 請求項1から8のいずれかに記載の方法であって、前記エッチングマスクは、193nmまたはそれより下の世代のフォトレジストマスクである方法。
  10. 請求項1から9のいずれかに記載の方法であって、前記保護コーティングは、前記エッチングマスクの前記曝露された領域および前記フィーチャの前記垂直側壁上に優先的に形成される方法。
  11. 請求項1から10のいずれかに記載の方法であって、前記保護膜形成ガス混合物は、前記層に対し前記マスク材料よりも化学的反応性がより高い重合化物質を放出する方法。
  12. 請求項10から11のいずれかに記載の方法であって、方向性エネルギーを持つイオンは、前記保護コーティングの前記形成の前記ステップのあいだ、堆積が前記層の前記水平表面において蓄積することを前記層の前記表面上のコーティングを選択的に除去するメカニズムを起動することによって優先的に防ぐ方法。
  13. 請求項1から12のいずれかに記載の方法であって、保護膜形成ガス混合物は、F:C比が2:1より小さいフルオロ置換炭化水素である方法。
  14. 請求項1から13のいずれかに記載の方法であって、前記保護膜形成化学物質のうちの少なくとも1つは、CH3F、CH22、C25F、C242、C37F、C362、C23F、CH4、C26、C24、C38、C22のうちの1つである方法。
  15. 請求項1から14のいずれかに記載の方法であって、前記保護膜形成ガス混合物は、ArおよびCH3Fの混合物である方法。
  16. 請求項1から15のいずれかに記載の方法であって、前記保護膜形成ステップにおいて供給される前記イオンエネルギーは、100電子ボルトより大きい方法。
  17. 請求項1から16のいずれかに記載の方法であって、前記エッチング化学物質のうちの少なくとも1つはC46である方法。
  18. 請求項1から17のいずれかに記載の方法であって、前記放電のために用いられる前記RF周波数のうちの少なくとも1つは、2MHz、27MHzおよび60MHzのうちの1つである方法。
  19. 請求項1から18のいずれかに記載の方法であって、前記RF放電周波数は、400kHzから13.56MHzの範囲のより低い周波数、および27MHzから120MHzの範囲のより高い周波数の組み合わせからなる方法。
  20. エッチングマスク下の1つの層をエッチングする装置であって、前記1つの層は基板によって支持され、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁、
    前記プラズマ処理チャンバ容器内で基板を支持する基板支持部、
    前記プラズマ処理チャンバ容器内の圧力を制御する圧力レギュレータ、
    プラズマを維持するために電力を前記プラズマ処理チャンバ容器に供給する少なくとも1つの電極、
    前記プラズマ処理チャンバ容器へガスを供給するガス入り口、および
    前記プラズマ処理チャンバ容器からガスを排気するガス出口
    を備えるプラズマ処理チャンバ、
    堆積ガス源、
    エッチング剤ガス源、
    前記プラズマ処理チャンバの前記ガス入り口および前記堆積ガス源間で流体的に接続された第1制御バルブ、
    前記プラズマ処理チャンバの前記ガス入り口および前記エッチング剤ガス源間で流体的に接続された第2制御バルブ、
    前記第1制御バルブ、前記第2制御バルブ、および前記少なくとも1つの電極に制御可能に接続されたコントローラであって、
    少なくとも1つのプロセッサ、および
    コンピュータで読み取り可能な媒体であって、
    少なくとも1つの堆積ステップのあいだ前記第1制御バルブを開いて、前記堆積ガス源から堆積ガスを前記プラズマ処理チャンバ容器に供給するコンピュータによって読み取り可能なコード、
    前記少なくとも1つの堆積ステップのあいだ前記第2制御バルブを閉じて、前記エッチング剤ガス源からエッチングガスが前記プラズマ処理チャンバ容器に入ることを防ぐコンピュータによって読み取り可能なコード、
    少なくとも1つのエッチングステップのあいだ前記第2制御バルブを開いて、前記エッチングガス源からエッチングガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコード、および
    前記少なくとも1つの電極に電力を供給して、前記少なくとも1つのエッチングステップのあいだ前記基板上に250ボルトより大きいバイアスを供給するコンピュータによって読み取り可能なコード
    を含むコンピュータで読み取り可能な媒体
    を備えるコントローラ
    を備える装置。
  21. 請求項20に記載の装置であって、
    保護膜形成ガス源、およ
    前記コントローラに制御可能に接続され、前記プラズマ処理チャンバの前記ガス入り口および前記保護膜形成ガス源間で流体的に接続された第3制御バルブ
    をさらに備え、
    前記コンピュータで読み取り可能な媒体は、前記少なくとも1つのエッチングステップのあいだ第3制御バルブを開いて、前記保護膜形成ガス源から保護膜形成ガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコードをさらに含む
    装置。
  22. 請求項20から21のいずれかに記載の装置であって、前記コンピュータで読み取り可能な媒体は、前記少なくとも1つの堆積ステップ、および少なくとも1つのエッチングステップを交互に複数回実行するコンピュータによって読み取り可能なコードをさらに含む装置。
  23. デュアルダマシンフィーチャを形成する方法であって、
    エッチング層内にビアを形成すること、
    前記エッチング層上にトレンチパターン付きマスクを作ること、
    トレンチをエッチングすることであって、前記トレンチを前記エッチングすることは、
    前記ビアの前記側壁上に保護側壁を形成すること、および
    前記トレンチパターン付きマスクを通してエッチングすること
    のサイクルを含む、トレンチをエッチングすること、および
    前記マスクを剥離すること
    を含む方法。
  24. 請求項23に記載の方法であって、前記トレンチサイクルは、少なくとも3回反復される方法。
  25. 請求項23に記載の方法であって、前記トレンチエッチングサイクルは少なくとも5回反復される方法。
  26. 請求項23から25のいずれかに記載の方法であって、前記保護側壁を形成すること、およびエッチングは、共通のプラズマ処理チャンバ内で実行される方法。
  27. 請求項23から26のいずれかに記載の方法であって、前記保護側壁を形成することは非方向性堆積を用い、前記エッチングステップは方向性エッチングを用いる方法。
  28. 請求項23から27のいずれかに記載の方法であって、前記ウェーハは、前記堆積ステップのあいだ100eVより大きいイオンエネルギーを持つエネルギー性イオンによって衝突される方法。
  29. 請求項23から28のいずれかに記載の方法であって、前記保護膜形成は非エッチングまたは無視できる程度のエッチング堆積である方法。
  30. 請求項23から29のいずれかに記載の方法であって、前記堆積は、H2、CH3F、CH22、CHF3、C46、C48のうちの少なくとも1つを前記ポリマーフォーマーとして含み、CF4、C26、およびNF3のうちの少なくとも1つを前記エッチングガスとして含むガス混合物を用いる方法。
  31. 請求項23から30のいずれかに記載の方法であって、前記堆積ステップは、CF4およびH2を含む混合物を用いる方法。
  32. 請求項31に記載の方法であって、前記CF4対H2のガスフロー比は、体積フロー比で0.6:1から1.4:1の範囲にある方法。
  33. 請求項23から32のいずれかに記載の方法であって、前記保護側壁を形成することは、化学気相成長およびスパッタリングのうちの少なくとも1つから選択される方法。
  34. 請求項23から33のいずれかに記載の方法であって、前記エッチング層は、低k誘電体材料である方法。
  35. 請求項23から34のいずれかに記載の方法であって、前記ビアホールは、前記トレンチプラズマエッチングプロセスの開始の前に犠牲フィラー材料で埋められない方法。
  36. 請求項23から35に記載の方法であって、前記トレンチプラズマエッチングプロセスの開始の前に前記ビアホールは、前記ビアホール高の50%より大きくないフィラー材料で埋められる方法。
  37. 請求項23から36のいずれかに記載の方法によって形成される半導体。
  38. 請求項23から36のいずれかに記載の方法を実行する装置。
  39. エッチングマスク下の層をエッチングする装置であって、前記層は基板によって支持され、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁、
    前記プラズマ処理チャンバ容器内で基板を支持する基板支持部、
    前記プラズマ処理チャンバ容器内の圧力を制御する圧力レギュレータ、
    プラズマを維持するために電力を前記プラズマ処理チャンバ容器に供給する少なくとも1つの電極、
    前記プラズマ処理チャンバ容器へガスを供給するガス入り口、および
    前記プラズマ処理チャンバ容器からガスを排気するガス出口
    を備えるプラズマ処理チャンバ、
    堆積ガス源、
    エッチング剤ガス源、
    前記プラズマ処理チャンバの前記ガス入り口および前記堆積ガス源間で流体的に接続された第1制御バルブ、
    前記プラズマ処理チャンバの前記ガス入り口および前記エッチング剤ガス源間で流体的に接続された第2制御バルブ、
    前記第1制御バルブ、前記第2制御バルブ、および前記少なくとも1つの電極に制御可能に接続されたコントローラであって、
    少なくとも1つのプロセッサ、および
    コンピュータで読み取り可能な媒体であって、
    少なくとも1つの堆積ステップのあいだ前記第1制御バルブを開いて、前記堆積ガス源から堆積ガスを前記プラズマ処理チャンバ容器に供給するコンピュータによって読み取り可能なコード、
    前記少なくとも1つの堆積ステップのあいだ前記第2制御バルブを閉じて、前記エッチング剤ガス源からエッチングガスが前記プラズマ処理チャンバ容器に入ることを防ぐコンピュータによって読み取り可能なコード、
    少なくとも1つのエッチングステップのあいだ前記第2制御バルブを開いて、前記エッチングガス源からエッチングガスを前記プラズマ処理チャンバに供給するコンピュータによって読み取り可能なコード
    を含むコンピュータで読み取り可能な媒体
    を備えるコントローラ
    を備える装置。
  40. 請求項39に記載の装置であって、前記コンピュータで読み取り可能な媒体は、前記少なくとも1つの堆積ステップ、および少なくとも1つのエッチングステップを交互に複数回実行するコンピュータによって読み取り可能なコードをさらに含む装置。
  41. 請求項39から40のいずれかに記載の装置であって、前記エッチング剤ガス源は、エッチングガス成分源およびポリマーフォーマーガス成分を含む装置。
  42. 請求項1から19のいずれかに記載の方法であって、前記層は、単一の層だけであり、前記フィーチャは、前記保護コーティングを形成し、前記フィーチャをエッチングするあいだに前記単一の層内でだけエッチングされる方法。
  43. 請求項1から19および42のいずれかに記載の方法であって、前記保護コーティングを前記形成することは、前記フィーチャの底部上に保護コーティングを作らない方法。
  44. 請求項1から19、および42から43のいずれかに記載の方法であって、前記保護コーティングを形成することは、選択的化学気相成長を用いて達成され、前記化学気相成長は、前記保護コーティングを前記エッチングマスクの曝露された表面、および前記フィーチャの垂直側壁上に形成するが、前記フィーチャの底部には形成しない方法。
  45. 請求項1から19、42から44のいずれかに記載の方法であって、前記エッチングマスクはフォトレジストマスクであり、前記保護コーティングを形成することは、前記エッチングマスクよりもよりエッチング耐性のある保護コーティングを形成する方法。
  46. 請求項45に記載の方法であって、前記保護コーティングを前記形成することは、アモルファスカーボンの保護コーティングを形成する方法。
  47. 請求項45に記載の方法であって、前記保護コーティングを前記形成することは、ポリアモルファスシリコンの保護コーティングを形成する方法。
  48. 請求項45に記載の方法であって、前記保護コーティングを前記形成することは、疑似ハードマスクを形成し、前記保護コーティングを前記形成することは、前記フィーチャの底部には保護コーティングを形成しない方法。
  49. 請求項1から19、および42から48のいずれかに記載の方法であって、
    前記層内にビアを形成すること、
    前記エッチングマスクを提供すること
    をさらに含む方法であって、
    前記エッチングマスクは、前記層上のトレンチパターン付きマスクであり、
    前記フィーチャはトレンチである
    方法。
  50. 請求項1から19、および42から49のいずれかに記載の方法であって、前記保護側壁を前記形成することは、H2、CH3F、CH22、CHF3、C46、C48のうちの少なくとも1つを前記ポリマーフォーマーとして含み、CF4、C26、およびNF3のうちの少なくとも1つを前記エッチングガスとして含むガス混合物を用いる方法。
  51. 請求項1から19、および42から50のいずれかに記載の方法であって、前記堆積ステップは、CF4およびH2を含む混合物を用いる方法。
  52. 請求項51に記載の方法であって、前記CF4対H2のガスフロー比は、体積フロー比で0.6:1から1.4:1の範囲にある方法。
  53. 請求項1から19、および42から52のいずれかに記載の方法であって、前記エッチング層は、低k誘電体材料である方法。
  54. 請求項49に記載の方法であって
    前記フィーチャを前記エッチングマスクを通してエッチングすることは、前記トレンチパターン付きマスクを通したトレンチプラズマエッチングであり、
    記ビアホールは、前記トレンチプラズマエッチングプロセスの開始の前に犠牲フィラー材料で埋められない方法。
  55. 請求項49に記載の方法であって
    前記フィーチャを前記エッチングマスクを通してエッチングすることは、前記トレンチパターン付きマスクを通したトレンチプラズマエッチングであり、
    記トレンチプラズマエッチングプロセスの開始の前に前記ビアホールは、前記ビアホール高の50%より大きくないフィラー材料で埋められる方法。
JP2005501104A 2002-10-11 2003-10-06 プラズマエッチングのパフォーマンスを改善する方法 Expired - Fee Related JP5019748B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US41780602P 2002-10-11 2002-10-11
US60/417,806 2002-10-11
US10/295,601 2002-11-14
US10/295,601 US6833325B2 (en) 2002-10-11 2002-11-14 Method for plasma etching performance enhancement
US10/674,675 2003-09-29
US10/674,675 US7169695B2 (en) 2002-10-11 2003-09-29 Method for forming a dual damascene structure
PCT/US2003/031712 WO2004034445A2 (en) 2002-10-11 2003-10-06 A method for plasma etching performance enhancement

Publications (3)

Publication Number Publication Date
JP2006514783A JP2006514783A (ja) 2006-05-11
JP2006514783A5 true JP2006514783A5 (ja) 2006-12-07
JP5019748B2 JP5019748B2 (ja) 2012-09-05

Family

ID=32096823

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005501104A Expired - Fee Related JP5019748B2 (ja) 2002-10-11 2003-10-06 プラズマエッチングのパフォーマンスを改善する方法

Country Status (6)

Country Link
US (1) US7169695B2 (ja)
EP (1) EP1550153A2 (ja)
JP (1) JP5019748B2 (ja)
KR (1) KR101029947B1 (ja)
AU (1) AU2003282718A1 (ja)
WO (1) WO2004034445A2 (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0622858B2 (en) * 1993-04-28 2004-09-29 Nichia Corporation Gallium nitride-based III-V group compound semiconductor device and method of producing the same
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
EP1793418B1 (en) * 2004-07-02 2013-06-12 Ulvac, Inc. Etching method and system
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7090782B1 (en) * 2004-09-03 2006-08-15 Lam Research Corporation Etch with uniformity control
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
SG128529A1 (en) * 2005-06-28 2007-01-30 United Microelectronics Corp Method for eliminating bridging defect in vial first dual damascene process
US7238619B2 (en) * 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7569478B2 (en) * 2005-08-25 2009-08-04 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
JP4507120B2 (ja) * 2005-11-11 2010-07-21 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US7485581B2 (en) 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7300878B1 (en) * 2006-05-25 2007-11-27 Texas Instruments Incorporated Gas switching during an etch process to modulate the characteristics of the etch
KR101346897B1 (ko) 2006-08-07 2014-01-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 시스템
MY148830A (en) * 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080146034A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. Method for recess etching
KR100867123B1 (ko) * 2007-04-03 2008-11-06 삼성전자주식회사 반도체소자의 식각방법
US7838432B2 (en) * 2007-04-16 2010-11-23 Applied Materials, Inc. Etch process with controlled critical dimension shrink
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US20110068086A1 (en) * 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP5357710B2 (ja) 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US9296947B2 (en) 2011-03-29 2016-03-29 Zeon Corporation Plasma etching gas and plasma etching method
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
CN105719965A (zh) * 2014-12-04 2016-06-29 北京北方微电子基地设备工艺研究中心有限责任公司 二氧化硅基片的刻蚀方法和刻蚀设备
US9595451B1 (en) * 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
WO2017170411A1 (ja) 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
US10438797B2 (en) * 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
JP6861535B2 (ja) 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
DE102019110706A1 (de) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US10832950B2 (en) * 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
KR102629727B1 (ko) 2019-02-11 2024-01-25 양쯔 메모리 테크놀로지스 씨오., 엘티디. 보호층의 인시튜 형성에 의한 신규한 에칭 방법
US11444029B2 (en) 2020-02-24 2022-09-13 International Business Machines Corporation Back-end-of-line interconnect structures with varying aspect ratios
WO2021202229A1 (en) * 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
US11776811B2 (en) * 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US20220005688A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
JP7123287B1 (ja) * 2020-09-18 2022-08-22 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、基板処理システム、及びプログラム
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing
US20240162042A1 (en) * 2022-10-26 2024-05-16 American Air Liquide, Inc. Etching methods with alternating non-plasma and plasma etching processes

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JP3685832B2 (ja) * 1995-02-28 2005-08-24 ソニー株式会社 半導体装置の製造方法
JP2956524B2 (ja) * 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE69725245T2 (de) * 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
JP2000085652A (ja) 1998-09-11 2000-03-28 Iic:Kk ロック用アラーム装置
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
JP2000306887A (ja) * 1999-04-19 2000-11-02 Matsushita Electronics Industry Corp 基板処理方法および装置
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement

Similar Documents

Publication Publication Date Title
JP2006514783A5 (ja)
US6833325B2 (en) Method for plasma etching performance enhancement
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US7977390B2 (en) Method for plasma etching performance enhancement
US7294580B2 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US9659791B2 (en) Metal removal with reduced surface roughness
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
JP6529357B2 (ja) エッチング方法
US10763123B2 (en) Method for processing workpiece
KR20080094608A (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR20170058863A (ko) 플라즈마 에칭 방법
TWI713486B (zh) 蝕刻方法(二)
US20210111033A1 (en) Isotropic silicon nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
CN113035708A (zh) 基片处理方法和基片处理装置
US20240120210A1 (en) Isotropic silicon nitride removal
JP2021013015A (ja) エッチング処理方法及び基板処理装置
JP2022094141A (ja) エッチング処理方法及びプラズマ処理装置