CN1867695A - 改善沉积的介电膜上的显影后光刻胶外形的方法 - Google Patents

改善沉积的介电膜上的显影后光刻胶外形的方法 Download PDF

Info

Publication number
CN1867695A
CN1867695A CNA2004800299639A CN200480029963A CN1867695A CN 1867695 A CN1867695 A CN 1867695A CN A2004800299639 A CNA2004800299639 A CN A2004800299639A CN 200480029963 A CN200480029963 A CN 200480029963A CN 1867695 A CN1867695 A CN 1867695A
Authority
CN
China
Prior art keywords
source
deposition
substrate
scope
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800299639A
Other languages
English (en)
Other versions
CN1867695B (zh
Inventor
吹上纪明
凯瑟琳娜·巴比彻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
International Business Machines Corp
Original Assignee
Tokyo Electron Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, International Business Machines Corp filed Critical Tokyo Electron Ltd
Publication of CN1867695A publication Critical patent/CN1867695A/zh
Application granted granted Critical
Publication of CN1867695B publication Critical patent/CN1867695B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

本发明公开了一种用于改善沉积的介电膜上的显影后光刻胶外形的方法和装置。该方法包括利用等离子体增强化学气相沉积工艺在衬底上沉积具有可调节光学和抗刻蚀性质的TERA膜,并利用等离子体工艺后处理TERA膜。该装置包括室和用于提供多种前驱体和处理气体的喷淋头,其中室具有耦合到第一RF源的上电极和耦合到第二RF源的衬底夹持器。

Description

改善沉积的介电膜上的显影后光刻胶外形的方法
该PCT申请基于并要求2003年11月6日提交的美国非临时专利申请No.10/702,049的优先权,这里通过引用并入其全部内容。
该申请与2003年8月21日提交的题为“Method and Apparatus ForDepositing Materials With Tunable Optical Properties And EtchingCharacteristics”的共同待决的美国专利申请No.10/644,958和2003年11月6日提交的题为“Method for Depositing Materials on a Substrate”的共同待决的美国专利申请No.10/702,048有关。这里通过引用并入这两个申请的全部内容。
技术领域
本发明涉及利用等离子体增强化学气相沉积(PECVD)系统来沉积具有可调节光学和刻蚀特性的薄膜。
背景技术
集成电路和器件制作要求在衬底上沉积电子材料。所沉积的膜可能是衬底或完成电路的永久性部分。这种情况下,选择膜特性以提供电路工作所需的电、物理或化学性质。在其他情况下,膜可被用作临时层,该临时层使能或简化了器件或电路制作。例如,所沉积的膜可用作后续刻蚀处理的掩模。可以图案化抗刻蚀膜,从而使其覆盖衬底上不希望被刻蚀处理移去的区域。然后,后续处理可以移去抗刻蚀膜,以允许对衬底进行进一步处理。
在临时层的另一个示例中,膜可用来提高后续的光刻图案化操作。在一个实施例中,具有特定光学性质的膜被沉积在衬底上,然后在膜上涂覆感光成像膜(其通常被称为光刻胶)。然后通过曝光图案化光刻胶。选择下层沉积膜的光学性质以减小曝光光的反射,从而提高光刻处理的分辨率。这种膜通常被称为抗反射涂层(下文中简称为ARC)。
在临时层的另一个示例中,膜既可用来充当硬掩模,又可用来充当抗反射涂层,如在美国专利6316167中描述的膜。
发明内容
本发明涉及PECVD系统中的沉积工艺,更具体而言,涉及具有改善的光刻胶相容性的可调节抗刻蚀ARC(TERA)层的沉积。更具体而言,沉积工艺的最终步骤被用来修饰TERA层表面从而在后续的光刻操作期间减少和/或消除了残留的光刻胶(底脚)。
附图说明
在附图中:
图1图示了根据本发明实施例的PECVD系统的简化框图;
图2A-2C示出了根据本发明实施例用于阻止在TERA层上形成光刻胶底脚的简化过程;
图3示出了根据本发明实施例用于在衬底上沉积包括第一部分和第二部分的TERA层的过程的简化流程图;以及
图4示出了根据本发明实施例在用于在衬底上沉积包括第一部分和第二部分的TERA层的过程中使用的一组示例性处理。
具体实施方式
图1图示了根据本发明实施例的PECVD系统的简化框图。在图示实施例中,PECVD系统100包括处理室110、作为电容耦合等离子体源的一部分的上电极140、喷淋板组件120、用于支持衬底135的衬底夹持器130、压强控制系统180和控制器190。
在一个实施例中,PECVD系统100可以包括远程等离子体系统175,远程等离子体系统175可以利用阀178耦合到处理室110。在另一个实施例中,远程等离子体系统和阀不是必需的。远程等离子体系统175可用于室清洗。
在一个实施例中,PECVD系统100可以包括压强控制系统180,压强控制系统180可以耦合到处理室110。例如,压强控制系统180可以包括节流阀(未示出)和涡轮分子泵(TMP)(未示出),并且可以在处理室110中提供受控压强。在替换实施例中,压强控制系统可以包括干燥泵。例如,室压强的范围可以从约0.1mTorr到约100Torr。或者,室压强的范围可以从约0.1Torr到约20Torr。
处理室110可以有利于处理空间102中等离子体的形成。PECVD系统100可被配置为处理任意尺寸的衬底,如200mm衬底、300mm衬底或更大的衬底。或者,PECVD系统100可通过在一个或多个处理室中生成等离子体来进行操作。
PECVD系统100包括耦合到处理室110的喷淋板组件120。喷淋板组件正对于衬底夹持器130安装。喷淋板组件120包括中心区域122、边缘区域124和副区域126。屏蔽环128可用来将喷淋板组件120耦合到处理室110。
中心区域122通过第一处理气体管线123耦合到气体供应系统131。边缘区域124通过第二处理气体管线125耦合到气体供应系统131。副区域126通过第三处理气体管线127耦合到气体供应系统131。
气体供应系统131向中心区域122提供第一处理气体,向边缘区域124提供第二处理气体,向副区域126提供第三处理气体。这些区域的气体化学成分和流率可以独立控制。或者,中心区域和边缘区域可以耦合在一起作为单个主区域,并且气体供应系统可以向主区域提供第一处理气体和/或第二处理气体。在替换实施例中,任何一个区域都可以耦合在一起,并且气体供应系统可以适当地提供一种或多种处理气体。
气体供应系统131可以包括用于提供前驱体的至少一个蒸馏器(未示出)。或者,蒸馏器不是必需的。在替换实施例中,可以使用鼓泡系统。
PECVD系统100包括上电极140,上电极140可以耦合到喷淋板组件120和处理室110。上电极140可以包括温度控制单元142。上电极140可以利用第一匹配网络144耦合到第一RF源146。或者,单独的匹配网络不是必需的。
第一RF源146向上电极提供TRF信号,第一RF源146可以工作在从约0.1MHz到约200MHz的频率范围内。TRF信号可以处于从约1MHz到约100MHz的频率范围内,或者处于从约2MHz到约60MHz的频率范围内。第一RF源可以工作在从约0W到约10000W的功率范围内,或者第一RF源可以工作在从约0W到约5000W的功率范围内。
上电极140和RF源146是电容耦合等离子体源的一部分。电容耦合等离子体源可以被其他类型的等离子体源替代或补充,如电感耦合等离子体(ICP)源、变压器耦合等离子体(TCP)源、微波赋能等离子体源、电子回旋共振(ECR)等离子体源、螺旋(Helicon)波等离子体源和表面波等离子体源。如本领域中所公知的,在各种合适的等离子体源中可以取消或重配置上电极140。
衬底135例如可以经由机械衬底转移系统(未示出)通过缝隙阀(未示出)和室进料通孔(未示出)移入和移出处理室110,并且其可以被衬底夹持器130接收,并被耦合到夹持器130的设备机械平移。一旦从衬底转移系统接收到衬底135,就可以利用平移设备150升高和/或降低衬底135,平移设备150可以通过耦合组件152耦合到衬底夹持器130。
衬底135可以经由静电钳制系统附着到衬底夹持器130。例如,静电钳制系统可以包括电极117和ESC电源156。可以向钳制电极提供范围例如可以从约-2000V到约+2000V的钳制电压。或者,钳制电压范围可以从约-1000V到约+1000V。在替换实施例中,ESC系统和电源不是必需的。
衬底夹持器130可以包括用于从衬底夹持器的表面升高和/或降低衬底的抬升钉(未示出)。在替换实施例中,可以在衬底夹持器130中提供不同的抬升装置。在替换实施例中,气体例如可以经由背面气体系统传送到衬底135的背面,以提高衬底135和衬底夹持器130之间的气体间隙热导。
还可以提供温度控制系统。这种系统可用在当提升或降低温度时需要衬底的温度控制的场合。例如,可以包括加热元件132,如电阻加热元件或热电加热器/冷却器,并且衬底夹持器130还可以包括热交换系统134。加热元件132可以耦合到热源158。热交换系统134可以包括再循环冷却剂流装置,该装置从衬底夹持器130接收热量,并将热量传递到热交换系统(未示出),或者在加热时传递来自热交换系统的热量。
另外,电极116可以利用第二匹配网络162耦合到第二RF源160。或者,匹配网络不是必需的。
第二RF源160向下电极116提供底部RF信号(BRF),第二RF源160可以工作在从约0.1MHz到约200MHz的频率范围内。BRF信号可以处于从约0.2MHz到约30MHz的频率范围内,或者处于从约0.3MHz到约15MHz的频率范围内。第二RF源可以工作在从约0.0W到约1000W的功率范围内,或者第二RF源可以工作在从约0.0W到约500W的功率范围内。在各种实施例中,下电极116可以不使用,或者可以是室内的唯一等离子体源,或者可以补充任何附加的等离子体源。
PECVD系统100还可以包括平移设备150,平移设备150可以通过伸缩管154耦合到处理室110。另外,耦合组件152可以将平移设备150耦合到衬底夹持器130。伸缩管154被配置为将垂直的平移设备相对于处理室110外部的大气密封。
平移设备150允许在喷淋板组件120和衬底135之间建立可变间隙104。间隙范围可以从约1mm到约200mm,或者间隙范围可以从约2mm到约80mm。间隙可以保持固定,或者间隙可以在沉积工艺期间改变。
另外,衬底夹持器130还可以包括聚焦环106和陶瓷盖108。或者,聚焦环106和/或陶瓷盖108不是必需的。
至少一个室壁112可以包括保护壁的涂层114。例如,涂层114可以包括陶瓷材料。在替换实施例中,涂层不是必需的。此外,在处理室110内可以使用陶瓷罩(未示出)。另外,可以使用温度控制系统来控制室壁温度。例如,可以在室壁中提供端口以控制温度。在室中执行工艺的同时,室壁温度可以维持相对恒定。
另外,可以使用温度控制系统来控制上电极的温度。可以使用温度控制元件142来控制上电极温度。在室中执行工艺的同时,上电极温度可以维持相对恒定。
此外,PECVD系统100还可以包括可用于控制污染的净化系统195。
在替换实施例中,处理室110例如还可以包括监视端口(未示出)。监视端口例如可以对处理空间102进行光学监视。
PECVD系统100还包括控制器190。控制器190可以耦合到室110、喷淋板组件120、衬底夹持器130、气体供应系统131、上电极140、第一RF匹配144、第一RF源146、平移设备150、ESC电源156、加热器电源158、第二RF匹配162、第二RF源160、净化系统195、远程等离子体设备175和压强控制系统180。控制器可被配置为向这些组件提供控制数据并从这些组件接收诸如工艺数据之类的数据。例如,控制器190可以包括微处理器、存储器和数字I/O端口,数字I/O端口能够生成足以与处理系统100通信、激活处理系统100的输入、并监视来自PECVD系统100的输出的控制电压。而且,控制器190可以与系统组件交换信息。另外,存储在存储器中的程序可用来根据工艺流程控制PECVD系统100的前述组件。另外,控制器190可被配置用来分析工艺数据,将工艺数据与目标工艺数据相比较,并使用比较结果来改变工艺和/或控制沉积工具。另外,控制器还可被配置用来分析工艺数据,将工艺数据与历史工艺数据相比较,并使用比较结果来预测、防止和/或声明故障。
图2A-2C示出了根据本发明实施例用于阻止在TERA层上形成光刻胶底脚的简化过程。图2A示出了TERA层上的光刻胶层210,TERA层包括顶部部分220和底部部分230。例如,TERA层的顶部部分220是厚度从约150A到约1000A的层,TERA层的底部部分230是厚度从约300A到约5000A的层。在该示例中,TERA底部部分230耦合到氧化层240。这不是必需的,TERA层也可以沉积在除氧化物外的其他材料上。尽管在图2A-2C中示出了两层,但这不是必需的。TERA叠层可以包括一层或多层。
在图2B中,光刻胶层210已被利用至少一步光刻和至少一步显影处理。图2B示出了TERA层上的光刻胶特征212,TERA层包括顶部部分220和底部部分230。另外,光刻胶底脚215被图示为在光刻胶特征212的底座上。例如,光刻胶底脚可能由TERA层的顶部部分220与光刻胶层210之间的相互作用引起。光刻胶底脚可能由TERA层材料与衬底材料和/或来自衬底的排出气之间的反应引起。光刻胶底脚在后续的衬底处理步骤中可能会引起问题,因此应当阻止其形成。
在图2C中,光刻胶层210已被利用本发明的方法处理。图2C示出了利用本发明的方法沉积的TERA层上的层250以及层250上光刻胶中的精确限定出的光刻胶特征252和光刻胶中的精确限定出的开口254。如图2C所示,特征252和开口254可以具有基本为矩形的形状,但这不是必需的。在替换实施例中,可以存在正方形的特征和/或开口。在图2C中,光刻胶底脚很小或者已被消除。
在该示例中,TERA底部部分230耦合到氧化层240。这不是必需的,TERA层也可以沉积在除氧化物之外的其他材料上。尽管在图2C中示出了三层(230、220和250),但这不是必需的。TERA叠层可以包括一层或多层。例如,可以使用诸如层250之类的单层。
发明人相信光刻胶底脚可能限制光刻胶材料在衬底上精确成像为纳米结构的能力,并且光刻胶底脚还可能对CD测量有不利的影响。发明人已经开发了用于使光刻胶底脚最小和/或消除光刻胶底脚的方法。
发明人还相信光刻胶底脚可能由ARC和光刻胶界面处的化学反应引起,这种反应通常称为光刻胶中毒。例如,存在于ARC层顶面上的基于胺的物质可能与化学放大光刻胶反应,并且减小接近光刻胶-衬底界面处的光刻胶显影速率。这可能阻止在显影步骤期间光刻胶的完全溶解,从而产生了光刻胶底脚。发明人已经开发出方法以确保TERA层的顶面(即,与光刻胶直接接触的表面)不与光刻胶以这样的方式反应,该方式对光刻胶显影特性有不利的影响。
此外,发明人相信如果在光刻胶和ARC层之间形成过强的粘附接合,则也可能发生光刻胶底脚。通常希望在光刻胶和下层之间具有好的粘附以保证光刻胶不会在后续处理期间从衬底上脱落。然而,如果粘附接合太强,则被曝光的光刻胶可能不能在显影步骤期间完全移去。残留的光刻胶可能存在于被曝光的区域中,尤其是在与未曝光的图案相邻的区域中,并且所得到的光刻胶外形可能表现出底脚。发明人已经开发出方法来生成具有这样的顶表面的TERA层,该顶表面相对于光刻胶表现出适当的粘附性质。这种情况下,粘附力足以强到防止脱落,但是还不至于生成带底脚的显影后外形或者在曝光区域中有残留光刻胶。
为了减少底脚,TERA层可以利用后处理等离子体来进行后处理,以改变TERA层的至少一部分。
以上技术不仅可应用于TERA顶层,还可以应用于TERA层的任何部分,即使TERA层只有单层也是如此。
图3示出了根据本发明实施例用于在衬底上沉积包括顶部部分和底部部分的TERA层的过程的简化流程图。例如,TERA层的底部部分可以利用第一工艺来沉积,而TERA层的顶部部分可以利用不同工艺来沉积。
过程300开始于310。
在330,衬底被放置在处理室中的衬底夹持器上。例如,衬底夹持器可用来建立上电极表面和衬底夹持器的表面之间的间隙。间隙范围可以从约1mm到约200mm,或者间隙范围可以从约2mm到约80mm。衬底夹持器是可平移的。从而,在替换实施例中,间隙尺寸可以改变。
在340,可以在衬底上沉积TERA层的底部部分。在替换实施例中,TERA层的底部部分不是必需的。
在底部部分沉积工艺期间,可以利用第一RF源向上电极提供TRF信号。例如,第一RF源可以工作在从约0.1MHz到约200MHz的频率范围内。或者,第一RF源可以工作在从约1MHz到约100MHz的频率范围内,或者工作在从约2MHz到约60MHz的频率范围内。第一RF源可以工作在从约10W到约10000W的功率范围内,或者第一RF源可以工作在从约10W到约5000W的功率范围内。
另外,在底部部分沉积工艺期间,可以利用第二RF源向衬底夹持器中的下电极提供BRF信号。例如,第二RF源可以工作在从约0.1MHz到约200MHz的频率范围内。或者,第二RF源可以工作在从约0.2MHz到约30MHz的频率范围内,或者工作在从约0.3MHz到约15MHz的频率范围内。第二RF源可以工作在从约0.0W到约1000W的功率范围内,或者第二RF源可以工作在从约0.0W到约500W的功率范围内。在替换实施例中,BRF信号不是必需的。
另外,喷淋板组件可以被提供在处理室中,并且可以耦合到上电极。喷淋板组件可以包括中心区域、边缘区域和副区域,并且喷淋板组件可以耦合到气体供应系统。在底部部分沉积工艺期间,第一处理气体可以提供到中心区域,第二处理气体可以提供到边缘区域,第三处理气体可以提供到副区域。
或者,中心区域和边缘区域可以耦合在一起作为单个主区域,并且气体供应系统可以向主区域提供第一处理气体和/或第二处理气体。在替换实施例中,任何区域都可以耦合在一起,并且气体供应系统可以提供一种或多种处理气体。
第一处理气体和第二处理气体可以包括含硅前驱体和含碳前驱体中的至少一种。还可以包括惰性气体。例如,含硅前驱体和含碳前驱体的流率范围可以从约0.0sccm到约5000sccm,惰性气体的流率范围可以从约0.0sccm到约10000sccm。含硅前驱体可以包括甲硅烷(SiH4)、原硅酸四乙酯(TEOS)、甲基硅烷(1MS)、二甲基硅烷(2MS)、三甲基硅烷(3MS)、四甲基硅烷(4MS)、八甲基环四硅氧烷(OMCTS)和四甲基环四硅烷(TMCTS)中的至少一种。含碳前驱体可以包括CH4、C2H4、C2H2、C6H6和C6H5OH中的至少一种。惰性气体可以是氩、氦和/或氮。
另外,第三处理气体可以包括含氧气体、含氮气体、含碳气体和惰性气体中的至少一种。例如,含氧气体可以包括O2、CO、NO、N2O和CO2中的至少一种;含碳前驱体可以包括CH4、C2H4、C2H2、C6H6和C6H5OH中的至少一种;含氮气体可以包括N2和NF3中的至少一种;惰性气体可以包括Ar和He中的至少一种。第三处理气体的流率范围可以从约0.0sccm到约10000sccm。
在底部部分的沉积期间,第一处理气体和第二处理气体的流率可以独立地建立。
底部部分可以包括这样的材料,该材料的折射率(n)在以248nm、193nm和157nm波长中的至少一个测量时范围从约1.5到约2.5,消光系数(k)在以248nm、193nm和157nm波长中的至少一个测量时范围从约0.10到约0.9。底部部分可以包括范围从约30.0nm到约500.0nm的厚度,并且沉积速率的范围可以从约100A/min到约10000A/min。底部部分沉积时间可以从约5秒变化到约180秒。
在350中,可以在底部部分上沉积顶部部分。在替换实施例中,底部部分不是必需的,例如,顶部部分可以单独沉积在衬底上。
在TERA层的顶部部分沉积期间,可以利用第一RF源向上电极提供TRF信号。例如,第一RF源可以工作在从约0.1MHz到约200MHz的频率范围内。或者,第一RF源可以工作在从约1MHz到约100MHz的频率范围内,或者工作在从约2MHz到约60MHz的频率范围内。第一RF源可以工作在从约10W到约10000W的功率范围内,或者第一RF源可以工作在从约10W到约5000W的功率范围内。
另外,喷淋板组件可以被提供在处理室中,并且可以耦合到上电极。喷淋板组件可以包括中心区域和边缘区域,并且喷淋板组件可以耦合到气体供应系统。在顶部部分沉积工艺期间,第一处理气体可以提供到中心区域,第二处理气体可以提供到边缘区域,第三处理气体可以经过第三气体区域提供到室。
或者,中心区域和边缘区域可以耦合在一起作为单个主区域,并且气体供应系统可以向主区域提供第一处理气体和/或第二处理气体。在替换实施例中,任何区域都可以耦合在一起,并且气体供应系统可以提供一种或多种处理气体。
第一处理气体和第二处理气体可以包括含硅前驱体和含碳前驱体中的至少一种。例如,含硅前驱体和含碳前驱体的流率范围可以从约0.0sccm到约5000sccm,惰性气体的流率范围可以从约0.0sccm到约10000sccm。含硅前驱体可以包括甲硅烷(SiH4)、原硅酸四乙酯(TEOS)、甲基硅烷(1MS)、二甲基硅烷(2MS)、三甲基硅烷(3MS)、四甲基硅烷(4MS)、八甲基环四硅氧烷(OMCTS)和四甲基环四硅烷(TMCTS)和二甲基二甲氧基硅烷(DMDMOS)中的至少一种。含碳前驱体可以包括CH4、C2H4、C2H2、C6H6和C6H5OH中的至少一种。惰性气体可以包括氩、氦和氮中的至少一种。
第三处理气体可以包括含氧气体、含氮气体和惰性气体中的至少一种。含氧气体可以包括O2、CO、NO、N2O和CO2中的至少一种。含氮气体可以包括N2和NF3中的至少一种。惰性气体可以包括Ar和He中的至少一种。第三处理气体的流率范围可以从约0.0sccm到约10000sccm。
在顶部部分的沉积期间,第一处理气体、第二处理气体和第三处理气体的流率可以独立地建立。
顶部部分可以包括这样的材料,该材料的折射率(n)在以248nm、193nm和157nm波长中的至少一个测量时范围从约1.5到约2.5,消光系数(k)在以248nm、193nm和157nm波长中的至少一个测量时范围从约0.10到约0.9。
顶部部分可以包括范围从约5.0nm到约400.0nm的厚度,并且沉积速率的范围可以从约50A/min到约5000A/min。顶部部分沉积时间可以从约5秒变化到约180秒。
在替换实施例中,在顶部部分沉积工艺期间,可以利用第二RF源向下电极提供BRF信号。例如,第二RF源可以工作在从约0.1MHz到约200MHz的频率范围内。或者,第二RF源可以工作在从约0.2MHz到约30MHz的频率范围内,或者工作在从约0.3MHz到约15MHz的频率范围内。第二RF源可以工作在从约0.0W到约1000W的功率范围内。或者,第二RF源工作在从约0.0W到约500W的功率范围内。
压强控制系统可以耦合到室,并且可以利用压强控制系统来控制室压强。例如,室压强的范围可以从约0.1mTorr到约100Torr。
温度控制系统可以耦合到衬底夹持器,并且可以利用温度控制系统来控制衬底温度。例如,衬底温度的范围可以从约0℃到约500℃。温度控制系统也可以耦合到室壁,并且可以利用温度控制系统来控制室壁的温度。例如,室壁温度的范围可以从约0℃到约500℃。另外,温度控制系统可以耦合到喷淋板组件;并且可以利用温度控制系统来控制喷淋板组件的温度。例如,喷淋板组件温度的范围可以从约0℃到约500℃。
在360中,可以在TERA沉积后执行后处理等离子体工艺来修饰TERA层的表面。通过利用含氧气体执行后工艺等离子体处理,可以改进TERA表面上的光刻胶外形,并且减少或消除了光刻胶底脚。或者,可以使用含氢气体。还可以包括惰性气体。
在后处理等离子体工艺期间,可以利用第一RF源向上电极提供TRF信号。例如,第一RF源可以工作在从约0.1MHz到约200MHz的频率范围内。或者,第一RF源可以工作在从约1MHz到约100MHz的频率范围内,或者工作在从约2MHz到约60MHz的频率范围内。第一RF源可以工作在从约0.1W到约10000W的功率范围内,或者第一RF源可以工作在从约1.0W到约5000W的功率范围内。
另外,可以在处理室中提供处理气体。例如,处理气体的流率范围可以从约0.0sccm到约10000sccm。处理气体可以包括含氧气体和含氢气体中的至少一种。还可以包括惰性气体。含氧气体可以包括NO、N2O、O2、CO和CO2中的至少一种,含氢气体可以包括H2O和H2中的至少一种,惰性气体可以包括Ar、He和N2中的至少一种。在后处理工序期间,处理气体和惰性气体的流率可以独立地建立。
在后处理等离子体工艺期间,ESC电压不是必需的。或者,ESC电压可以从钳制电位降到较低电位。例如,较低电位可以约为0V。
后处理等离子体工艺可以包括解夹持工序。例如,在顶部部分沉积工艺期间,抬升钉可被启动。或者,解夹持可以在施加后处理等离子体之前或之后发生。或者,解夹持工序不是必需的。后处理等离子体工艺可以从约2秒延伸到约180秒。
在等离子体工艺期间室压强可以保持恒定。另外,室压强可以在后处理工序期间改变。例如,室压强可以从约0.1mTorr变化到约100Torr。
过程300在370结束。
图4示出了根据本发明实施例在用于在衬底上沉积TERA层的过程中使用的一组示例性处理。在第一步中,处理气体被引入到室中,并且操作压强被建立。例如,室压强可以被建立在约8Torr,并且第一步的持续时间可以为60秒。处理气体可以包括含硅前驱体、含碳前驱体和惰性气体。例如,可以采用流率分别为约100sccm和约600sccm的3MS和He。在替换实施例中,可以使用不同的压强和不同的持续时间。
在第二步中,可以执行稳定处理。例如,可以改变一种或多种处理气体的流率,并且可以将钳制电压施加到ESC。例如,He的流率可以减小到约300sccm。
在第三步中,可以沉积TERA层的底脚部分。第一RF源可以向上电极提供RF信号(TRF),第二RF源可以向下电极提供RF信号(BRF),下电极可以是衬底夹持器的一部分。例如,TRF的频率范围可以从约0.1MHz到约200MHz,TRF功率范围可以从约10W到约10000W。同样,BRF的频率范围可以从约0.1MHz到约200MHz,BRF功率范围可以从约0.1W到约1000W。在图示实施例(图4)中,TRF频率约为13.56MHz;TRF功率约为700W;BRF频率约为2MHz;BRF功率约为50W;ESC电压约为-200V。在替换实施例中,可以使用不同的频率、功率水平和钳制电压。
在第四步中,可以执行预备处理。可以更改TRF和BRF信号水平,可以改变处理气体,并且可以修改流率。在图示实施例(图4)中,TRF信号被关闭;BRF信号被关闭;ESC电压不变。另外,改变含硅前驱体的流率,并且含氧气体被供应到处理室中。或者,等离子体可被关闭,并且室压强的范围可以从约1mTorr到约20Torr,且处理气体包括含硅前驱体、含碳前驱体、含氧气体和惰性气体中的至少一种。或者,可以维持等离子体,同时向室提供惰性气体。或者,可以去掉底层沉积和覆盖层沉积之间的第四步,从而使覆盖层沉积在底层沉积之后立即发生。在图4的实施例中,3MS的流率减小到约75sccm,并且引入流率约为50sccm的CO2
在第五步中,可以沉积TERA层的顶层部分。第一RF源可以向上电极提供RF信号(TRF),并且可以向处理室提供处理气体的不同组合。例如,TRF的频率范围可以从约0.1MHz到约200MHz,TRF功率范围可以从约10W到约10000W。另外,处理气体的组合可以包括含硅前驱体、含碳前驱体、含氧气体和惰性气体。在图示实施例(图4)中,TRF频率约为13.56MHz;TRF功率约为400W;ESC电压约为-200V;含硅前驱体包括流率约为75sccm的3MS,含氧气体包括流率约为50sccm的CO2,惰性气体包括流率约为300sccm的He。在替换实施例中,可以使用不同的频率、功率水平和气体。
在第六步和第七步中,可以执行净化处理。例如,可以改变一种或多种处理气体的流率;可以更改TRF信号;可以改变ESC电压;并且可以修改压强。在图示实施例(图4)中,TRF信号被关闭;含硅前驱体(3MS)的流率被设为0;含氧气体(CO2)的流率被设为0;惰性气体(He)的流率保持恒定;ESC电压被设为0。
在第八步中,室被抽空,压强降低。例如,在该步期间不向室提供处理气体。
在第九步中,可以增大室压强。例如,可以向处理室供应一种或多种处理气体,并且室压强可以维持在预定水平。在图示实施例(图4)中,RF信号被关闭;含硅前驱体(3MS)的流率被设为0;含氧气体(CO2)的流率被设为约36sccm;惰性气体(He)的流率被设为约600sccm;室压强维持在约2Torr。
在第十步中,可以执行后等离子体处理工艺。例如,TRF信号可用来建立等离子体。在图示实施例(图4)中,TRF信号被开启;含硅前驱体(3MS)的流率被设为0;含氧气体(CO2)的流率被设为约36sccm;惰性气体(He)的流率被设为约600sccm;室压强维持在约2Torr。
在第十一步中,可以执行抬升处理。例如,抬升钉可以伸出以将衬底抬离衬底夹持器。
在第十二步中,可以执行净化处理。例如,可以更改TRF信号,并且可以改变室压强。在图示实施例(图4)中,TRF信号被关闭;含硅前驱体(3MS)的流率被设为0;含氧气体(CO2)的流率被设为约36sccm;惰性气体(He)的流率被设为约600sccm;室压强从约2Torr开始减小。
在第十三步中,室被抽空,压强降低。例如,在该步期间不向室提供处理气体。
以上示例说明了通过利用PECVD过程沉积底层和顶层可以沉积TERA层。在可作为替换的过程中,可以沉积单个TERA层。
在该实施例中,在一个室中顺序沉积TERA底层和顶层。在底层和顶层沉积之间的时间段中,等离子体被关闭。在替换实施例中,可以在同一室中顺序沉积TERA底层和顶层,而不用关闭等离子体。在替换实施例中,可以在单独的室中分别沉积TERA底层和顶层。
在该实施例中,在底层和顶层沉积之间室被保持在特定压强。在替换实施例中,在层沉积之间室可被抽空。
以上示例说明可以沉积TERA层的顶部部分,并且可以在TERA层的顶部上形成与光刻胶相容的表面以阻止光刻胶底脚的形成。
尽管以上仅仅详细描述了本发明的某些示例性实施例,但是本领域技术人员将容易地意识到,可以在示例性实施例中进行许多修改,而不实质上脱离本发明的新颖教导和优点。因此,所有这些修改都应当包括在本发明的范围内。

Claims (43)

1.一种用于在衬底上沉积材料的方法,所述方法包括:
将衬底放置在具有等离子体源的室中,并置于衬底夹持器上;
在所述衬底上沉积可调节刻蚀光刻胶ARC(TERA)层,其中包含前驱体的处理气体被提供到所述室中;以及
将所述TERA层暴露于后处理等离子体,其中在所述TERA层上生成与光刻胶相容的表面。
2.如权利要求1所述的方法,还包括:
在所述TERA层的与光刻胶相容的表面上形成多个光刻胶特征,其中所述光刻胶特征中的至少一个包括基本很小的底脚。
3.如权利要求1所述的方法,还包括:
在所述TERA层的与光刻胶相容的表面上形成多个光刻胶特征,其中所述光刻胶特征中的至少一个包括精确限定出的矩形外形。
4.如权利要求1所述的方法,其中所述暴露包括:
利用含氧气体和含氢气体中的至少一种创建所述后处理等离子体。
5.如权利要求4所述的方法,其中在所述创建期间采用流率范围从约0.0sccm到约10000sccm的含氧气体,并且所述含氧气体包括H2O、NO、N2O、O2、CO和CO2中的至少一种。
6.如权利要求4所述的方法,其中在所述创建期间采用流率范围从约0.0sccm到约10000sccm的含氢气体,并且所述含氢气体包括H2O和H2中的至少一种。
7.如权利要求4所述的方法,其中在所述创建期间还采用流率范围从约0.0sccm到约10000sccm的惰性气体,并且所述惰性气体包括Ar、He和N2中的至少一种。
8.如权利要求1所述的方法,其中所述等离子体源具有RF源,并且所述暴露还包括:
使所述RF源工作在从约0.1MHz到约200MHz的频率范围内;以及
使所述RF源工作在从约0.1W到约200W的功率范围内。
9.如权利要求1所述的方法,其中所述后处理等离子体具有从约2秒变化到约180秒的寿命。
10.如权利要求1所述的方法,其中所述沉积TERA层的操作包括:
在沉积时间期间沉积所述TERA层的底部部分,其中所述底部部分包括如下的材料,该材料的折射率(n)在以248nm、193nm和157nm波长中的至少一个测量时范围从约1.5到约2.5,消光系数(k)在以248nm、193nm和157nm波长中的至少一个测量时范围从约0.10到约0.9。
11.如权利要求10所述的方法,其中所述底部部分的沉积发生的速率从约100A/min到约10000A/min。
12.如权利要求10所述的方法,其中所述沉积时间范围从约5秒到约180秒。
13.如权利要求10所述的方法,其中所述等离子体源具有RF源,并且所述底部部分的沉积还包括:
使所述RF源工作在从约0.1MHz到约200MHz的频率范围内;以及
使所述RF源工作在从约10.0W到约10000W的功率范围内。
14.如权利要求13所述的方法,其中第二RF源耦合到所述衬底夹持器,并且所述底部部分的沉积还包括:
使所述第二RF源工作在从约0.1MHz到约200MHz的频率范围内;以及
使所述第二RF源工作在从约0.0W到约500W的功率范围内。
15.如权利要求10所述的方法,其中所述底部部分的沉积还包括:
提供所述处理气体,其中所述处理气体包括含硅前驱体和含碳前驱体中的至少一种。
16.如权利要求15所述的方法,其中所述提供处理气体的操作包括使所述含硅前驱体和/或所述含碳前驱体以第一速率流入,所述第一速率的范围从约0.0sccm到约5000sccm。
17.如权利要求15所述的方法,其中所述处理气体包括甲硅烷(SiH4)、原硅酸四乙酯(TEOS)、甲基硅烷(1MS)、二甲基硅烷(2MS)、三甲基硅烷(3MS)、四甲基硅烷(4MS)、八甲基环四硅氧烷(OMCTS)和四甲基环四硅烷(TMCTS)中的至少一种。
18.如权利要求15所述的方法,其中所述处理气体包括CH4、C2H4、C2H2、C6H6和C6H5OH中的至少一种。
19.如权利要求15所述的方法,其中所述处理气体包括惰性气体,所述惰性气体包括氩、氦和氮中的至少一种。
20.如权利要求10所述的方法,其中所述底部部分的沉积还包括:
利用压强控制系统控制室压强,其中所述室压强的范围从约0.1mTorr到约100Torr。
21.如权利要求20所述的方法,其中所述室压强的范围从约0.1Torr到约20Torr。
22.如权利要求10所述的方法,其中所述底部部分的沉积还包括:
向耦合到所述衬底夹持器的静电卡盘(ESC)提供DC电压以将所述衬底钳制到所述衬底夹持器,其中所述DC电压的范围从约-2000V到约+2000V。
23.如权利要求1所述的方法,其中所述TERA层的沉积还包括:
在沉积时间期间沉积所述TERA层的顶部部分,其中所述顶部部分包括如下的材料,该材料的折射率(n)在以248nm、193nm和157nm波长中的至少一个测量时范围从约1.5到约2.5,消光系数(k)在以248nm、193nm和157nm波长中的至少一个测量时范围从约0.10到约0.9。
24.如权利要求23所述的方法,其中所述等离子体源具有RF源,并且所述顶部部分的沉积还包括:
使所述RF源工作在从约1MHz到约100MHz的频率范围内;以及
使所述RF源工作在从约10.0W到约2000W的功率范围内。
25.如权利要求23所述的方法,其中所述顶部部分的沉积发生的速率从约10A/min到约5000A/min。
26.如权利要求23所述的方法,其中所述沉积时间范围从约5秒到约180秒。
27.如权利要求23所述的方法,其中用于所述顶部部分的处理气体包括惰性气体以及包含硅、碳和氧的前驱体。
28.如权利要求23所述的方法,其中用于所述顶部部分的处理气体包括含硅前驱体、含碳气体、含氧气体和惰性气体。
29.如权利要求27所述的方法,其中所述前驱体以第一速率流入,所述惰性气体以第二速率流入,所述第一速率的范围从约0.0sccm到约5000sccm,所述第二速率的范围从约0.0sccm到约10000sccm。
30.如权利要求27所述的方法,其中所述前驱体包括四甲基环四硅烷(TMCTS)、原硅酸四乙酯(TEOS)、二甲基二甲氧基硅烷(DMDMOS)和八甲基环四硅氧烷(OMCTS)中的至少一种。
31.如权利要求27所述的方法,其中所述惰性气体包括氩、氦和氮中的至少一种。
32.如权利要求1所述的方法,还包括控制所述衬底的温度。
33.如权利要求32所述的方法,其中所述衬底的温度的范围从约0℃到约500℃。
34.如权利要求1所述的方法,还包括控制所述室的至少一个室壁的温度。
35.如权利要求34所述的方法,其中所述至少一个室壁的温度的范围从约0℃到约500℃。
36.如权利要求1所述的方法,其中喷淋板组件耦合到所述室,并且所述方法还包括:
控制所述喷淋板组件的温度。
37.如权利要求36所述的方法,其中所述喷淋板组件的温度的范围从约0℃到约500℃。
38.如权利要求1所述的方法,还包括:
在创建所述后处理等离子体的同时解夹持所述衬底。
39.如权利要求1所述的方法,还包括:
在创建所述后处理等离子体之前解夹持所述衬底。
40.如权利要求1所述的方法,还包括:
在所述后处理等离子体消失之后解夹持所述衬底。
41.如权利要求1所述的方法,还包括:
在创建所述后处理等离子体的同时抬升所述衬底。
42.如权利要求1所述的方法,还包括:
在创建所述后处理等离子体之前抬升所述衬底。
43.如权利要求1所述的方法,还包括:
在所述后处理等离子体消失之后抬升所述衬底。
CN2004800299639A 2003-11-06 2004-10-15 改善沉积的介电膜上的显影后光刻胶外形的方法 Expired - Fee Related CN1867695B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/702,049 2003-11-06
US10/702,049 US7611758B2 (en) 2003-11-06 2003-11-06 Method of improving post-develop photoresist profile on a deposited dielectric film
PCT/US2004/033864 WO2005047564A2 (en) 2003-11-06 2004-10-15 Method of improving post-develop photoresist profile on a deposited dielectric film

Publications (2)

Publication Number Publication Date
CN1867695A true CN1867695A (zh) 2006-11-22
CN1867695B CN1867695B (zh) 2010-06-16

Family

ID=34551582

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800299639A Expired - Fee Related CN1867695B (zh) 2003-11-06 2004-10-15 改善沉积的介电膜上的显影后光刻胶外形的方法

Country Status (7)

Country Link
US (1) US7611758B2 (zh)
EP (1) EP1699946A2 (zh)
JP (1) JP2007515056A (zh)
KR (1) KR20060109429A (zh)
CN (1) CN1867695B (zh)
TW (1) TWI280289B (zh)
WO (1) WO2005047564A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101286445B (zh) * 2007-04-10 2011-07-06 三星电子株式会社 平板显示器的玻璃基底的蚀刻设备和蚀刻玻璃基底的方法
CN102446753A (zh) * 2011-09-29 2012-05-09 上海华力微电子有限公司 控制光阻所需介电抗反射薄膜反射率及消光系数的方法
CN103811311A (zh) * 2012-11-15 2014-05-21 上海华虹宏力半导体制造有限公司 用以改善线宽稳定性的SiON表面处理方法
CN109256330A (zh) * 2018-09-06 2019-01-22 德淮半导体有限公司 一种光刻方法
CN112349575A (zh) * 2019-08-08 2021-02-09 东京毅力科创株式会社 喷淋板、下部电介质和等离子体处理装置

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050100682A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US20050118541A1 (en) 2003-11-28 2005-06-02 Applied Materials, Inc. Maintenance of photoresist adhesion and activity on the surface of dielectric ARCS for 90 nm feature sizes
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
EP2088123A1 (en) 2006-11-10 2009-08-12 Sumitomo Electric Industries, Ltd. Si-O CONTAINING HYDROGENATED CARBON FILM, OPTICAL DEVICE INCLUDING THE SAME, AND METHOD FOR MANUFACTURING THE Si-O CONTAINING HYDROGENATED FILM AND THE OPTICAL DEVICE
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
DE102008044987B4 (de) 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP7039865B2 (ja) * 2017-05-26 2022-03-23 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
TWI830751B (zh) 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5883001A (en) * 1994-11-07 1999-03-16 Macronix International Co., Ltd. Integrated circuit passivation process and structure
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
EP0928416A4 (en) * 1996-03-19 2000-03-29 Univ Utah Res Found LENS AND RELATED FLOW CELL
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
JPH11174684A (ja) * 1997-12-16 1999-07-02 Toshiba Corp パターン形成方法
US6287959B1 (en) * 1998-04-23 2001-09-11 Advanced Micro Devices, Inc. Deep submicron metallization using deep UV photoresist
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6238160B1 (en) * 1998-12-02 2001-05-29 Taiwan Semiconductor Manufacturing Company, Ltd' Method for transporting and electrostatically chucking a semiconductor wafer or the like
US6869750B2 (en) * 1999-10-28 2005-03-22 Fujitsu Limited Structure and method for forming a multilayered structure
TW574622B (en) * 2000-05-05 2004-02-01 Ibm Copolymer photoresist with improved etch resistance
US6251560B1 (en) * 2000-05-05 2001-06-26 International Business Machines Corporation Photoresist compositions with cyclic olefin polymers having lactone moiety
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
DE10062660B4 (de) 2000-12-15 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
US6624091B2 (en) * 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP2003209046A (ja) * 2002-01-16 2003-07-25 Mitsubishi Electric Corp レジストパターン形成方法および半導体装置の製造方法
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
DE10240176A1 (de) * 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
EP1408140A1 (en) * 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101286445B (zh) * 2007-04-10 2011-07-06 三星电子株式会社 平板显示器的玻璃基底的蚀刻设备和蚀刻玻璃基底的方法
CN102446753A (zh) * 2011-09-29 2012-05-09 上海华力微电子有限公司 控制光阻所需介电抗反射薄膜反射率及消光系数的方法
CN103811311A (zh) * 2012-11-15 2014-05-21 上海华虹宏力半导体制造有限公司 用以改善线宽稳定性的SiON表面处理方法
CN103811311B (zh) * 2012-11-15 2016-10-26 上海华虹宏力半导体制造有限公司 用以改善线宽稳定性的SiON表面处理方法
CN109256330A (zh) * 2018-09-06 2019-01-22 德淮半导体有限公司 一种光刻方法
CN112349575A (zh) * 2019-08-08 2021-02-09 东京毅力科创株式会社 喷淋板、下部电介质和等离子体处理装置

Also Published As

Publication number Publication date
TW200526808A (en) 2005-08-16
JP2007515056A (ja) 2007-06-07
CN1867695B (zh) 2010-06-16
KR20060109429A (ko) 2006-10-20
US7611758B2 (en) 2009-11-03
TWI280289B (en) 2007-05-01
WO2005047564A2 (en) 2005-05-26
EP1699946A2 (en) 2006-09-13
US20050100683A1 (en) 2005-05-12
WO2005047564A3 (en) 2005-09-15

Similar Documents

Publication Publication Date Title
KR102455124B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
CN1867695A (zh) 改善沉积的介电膜上的显影后光刻胶外形的方法
US20220244645A1 (en) Photoresist development with halide chemistries
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
CN1868034A (zh) 在衬底上沉积材料的方法
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
CN1802730A (zh) 用于利用气体化学剂周期调制的等离子体蚀刻的方法
US10763123B2 (en) Method for processing workpiece
KR20100128302A (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
CN1524287A (zh) 用于蚀刻有机低k材料的特殊化学工艺
KR20090107055A (ko) 에칭 동안 라인 말단 단축의 감소 방법
CN1839218A (zh) 用于沉积具有可调节的性质的材料的方法和装置
CN101779277A (zh) 具有arl蚀刻的掩模修整
KR20110027597A (ko) 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체
TWI737785B (zh) 被處理體之處理方法
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
KR20180128943A (ko) 피처리체를 처리하는 방법
JP2024010120A (ja) 照射フォトレジストパターニングのための統合乾式プロセス
TWI724198B (zh) 對被處理體進行處理之方法
TWI707382B (zh) 被處理體之處理方法
CN1832105A (zh) 微细图案形成方法
CN101107698A (zh) 半导体装置的制造方法
CN101054673A (zh) 利用保护性罩幕的光罩等离子体蚀刻法
EP4325550A1 (en) Substrate processing method
TW202401131A (zh) 含金屬光阻的顯影後處理

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100616

Termination date: 20131015