KR20100128302A - 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스 - Google Patents

포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스 Download PDF

Info

Publication number
KR20100128302A
KR20100128302A KR1020107021066A KR20107021066A KR20100128302A KR 20100128302 A KR20100128302 A KR 20100128302A KR 1020107021066 A KR1020107021066 A KR 1020107021066A KR 20107021066 A KR20107021066 A KR 20107021066A KR 20100128302 A KR20100128302 A KR 20100128302A
Authority
KR
South Korea
Prior art keywords
hardmask
annealing
substrate
deposition
etching
Prior art date
Application number
KR1020107021066A
Other languages
English (en)
Other versions
KR101515082B1 (ko
Inventor
스리니바스 디. 네마니
샨카르 벤카타라만
엘리에 와이. 이예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100128302A publication Critical patent/KR20100128302A/ko
Application granted granted Critical
Publication of KR101515082B1 publication Critical patent/KR101515082B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Weting (AREA)

Abstract

본 발명의 한 가지 구체예는 자외선을 이용하여 하드마스크 막을 패턴화시키는 방법 및 시스템을 개시하고 있다.
Embodiments of the present invention alleviate the processing problem of depositing and etching photoresist in order to produce a hardmask pattern. A hardmask layer, such as, silicon oxide, is first deposited on a substrate within a deposition chamber. In some cases, the hardmask layer is baked or annealed following deposition. After which, portions of the hardmask layer are exposed with ultraviolet light. The ultraviolet light produces a pattern of exposed and unexposed portions of hardmask material. Following the exposure, an etching process, such as a wet etch, may occur that removes the unexposed portions of the hardmask. Following the etch, the hardmask may be annealed, baked or subjected to a plasma treatment.

Description

포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(RFP)을 위한 공정 시퀀스{PROCESS SEQUENCE FOR FORMATION OF PATTERNED HARD MASK FILM (RFP) WITHOUT NEED FOR PHOTORESIST OR DRY ETCH}
본 발명은 일반적으로 포토리소그래피(photolithography)에 관한 것이며, 이로 한정되는 것은 아니지만, 다른 무엇보다도 무 레지스트 패턴화(resist free patterning: RFP) 포토리소그래피에 관한 것이다.
일반적으로 말하면, 포토리소그래피는 박막의 일부를 선택적으로 제거하기 위한 미세가공에 이용되는 공정이다. 전형적으로는, 기하학적 패턴을 포토마스크(photomask) 또는 레티클(reticle )로부터 기판상의 광-민감성 화학적 포토레지스트에 전달하기 위해서 빛이 이용된다. 이어서, 일련의 화학적 처리에 의해서 노출 패턴이 포토레지스트 밑에 있는 재료에 새겨진다. 복잡한 집적회로(예를 들어, 현대의 CMOS)에서, 웨이퍼는 50회까지의 포토리소그래피 사이클을 거칠 수 있다.
통상적인 포토리소그래피 공정은 하기 단계를 포함할 수 있다: 준비, 포토레지스트 적용, 노출, 현상, 에칭 및 제거. 웨이퍼는 웨이퍼 표면상에 존재할 수 있는 어떠한 수분을 제거하기에 충분한 온도를 이를 가열함으로써 준비될 수 있다. 저장되었던 웨이퍼는 오염물을 제거하기 위해서 화학적으로 세정되어야 한다. 액체 또는 기체 접착 촉진제, 예컨대, 헥사메틸디실라잔(hexamethyldisilazane: HMDS)이 웨이퍼에 대한 포토레지스트의 접착을 촉진시키기 위해서 적용될 수 있다.
이어서, 웨이퍼는 다양한 증착 기술, 예컨대, 스핀 코팅, 화학적 기상 증착, 원자층 증착, 물리적 기상 증착 및 이들의 변형방법에 의해서 포토레지스트로 피복될 수 있다. 이어서, 포토레지스트-코팅된 웨이퍼는 과량의 용매를 제거하기 위해서 소프트-베이킹(soft-baking) 또는 프리베이킹(prebaking)될 수 있다. 프리베이킹 후에, 포토레지스트는 일정 패턴의 강한 빛에 노출될 수 있다. 광 노출로 인해서, 포지티브 포토레지스트(positive photoresist)는 화학적으로 덜 강화되고, 네거티브 포토레지스트(negative photoresist)는 더 강화된다. 이러한 화학적 변화는 포토레지스트의 일부가 현상용액에 의해서 제거되게 한다. 전형적으로는, 입사광의 소멸간섭 및 보강간섭 패턴에 의해서 야기된 스탠딩 웨이브 현상(standing wave phenomena)을 감소시키는 것을 돕기 위해서, 노출후 베이킹이 현상 전에 종종 수행된다.
이어서, 웨이퍼가 하드-베이킹(hard-baking)될 수 있다. 일부의 경우에, 하드-베이킹은 120℃ 내지 180℃에서 20분 내지 30분 동안 수행된다. 하드-베이킹은 잔류 포토레지스트를 고형화시켜서 이후의 이온 주입, 습식 화학 에칭, 또는 플라즈마 에칭에서 더욱 내구성인 보호층을 형성시킨다. 하드-베이킹 후에, 웨이퍼는 예를 들어, 포토레지스트에 의해서 보호되지 않는 영역내의 기판의 최상층을 제거하는 액체("습식") 또는 플라즈마 화학 약품을 사용함으로써 에칭된다.
에칭 후에, 포토레지스트가 기판으로부터 제거될 수 있다. 액체 레지스트 스트리퍼가 사용되어 레지스트를 화학적으로 변경시켜서 그러한 레지스트가 더 이상 기판에 부착되지 않게 할 수 있다. 대안적으로, 포토레지스트는 포토레지스트를 산소화된 플라즈마(oxygenated plasma)로 산화시키는 애싱(ashing)에 의해서 제거될 수 있다. 그 밖의 다양한 기술 및/또는 변형기술이 포토리소그래피 시스템에서 수행될 수 있다.
이들 통상적인 포토리소그래피 공정은 시간 및 공정이 많이 요구된다. 본 발명의 구체예는 포토리스그래피 시스템의 공정 및 시간 요구에 대한 감소에 관한 것이다.
발명의 간단한 요약
자외선을 이용하여 하드마스크 막을 패턴화시키는 방법 및 시스템이 본 발명의 한 가지 구체예에 따라서 개시되고 있다. 본 발명의 구체예는 하드마스크 패턴을 생성시키기 위해서 포토레지스트를 현상하고 에칭하는 공정 문제를 완화시키고 있다. 먼저, 하드마스크 층, 예컨대, 실리콘 옥사이드가 증착 챔버내의 기판상에 층착된다. 일부의 경우에, 하드마스크 층은 증착 후에 베이킹되거나 어닐링된다. 그 후에, 하드마스크 층의 일부가 자외선, 예컨대, 348nm 미만의 빛에 노출된다. 자외선은 일정 패턴의 하드마스크 재료의 노출된 및 비노출된 부분을 생성시킨다. 노출 후에, 하드마스크의 비노출된 부분을 제거하는 에칭 공정, 예컨대, HF, NH4OH, SCl 및 RCA를 포함한 습식 에칭이 수행될 수 있다. 에칭 후에, 하드마스크는 어닐링되거나, 베이킹되거나, 플라즈마 처리에 주어질 수 있다.
어닐링 공정은 스팀 어닐링, 열 어닐링, 유도 결합 플라즈마 어닐링(inductively coupled plasma annealing), 용량 결합 플라즈마 어닐링(capacitively-coupled plasma annealing), 자외선 어닐링, 전자-빔 어닐링, 산 증기 촉매 어닐링, 염기 증기 촉매 어닐링 및 마이크로파 어닐링을 포함할 수 있다. 이러한 어닐링은 N2, Ar, O2, H2O, NH3, N2/H2, 및 N2O를 포함한 대기중에서 불활성 가스의 존재하에 수행될 수 있다. 또한, 플라즈마 처리는 용량 결합 플라즈마 및 유도 결합 플라즈마를 포함할 수 있다. 플라즈마 처리는 N2, Ar, O2, H2O, NH3, N2/H2, 및 N2O의 존재하에 수행될 수 있다.
기판은 실리콘 기판, III-V 화합물 기판, 실리콘/게르마늄 기판, 에피-기판, 실리콘-온-인슐레이터 기판(silicon-on-insulator substrate), 디스플레이 기판, 액정 디스플레이 기판, 플라즈마 디스플레이 기판, 전계 발광 램프(electro luminescence lamp) 기판, 및 발광 다이오드 기판을 포함할 수 있다. 또한, 공정 및/또는 단계중 하나 이상은 공정, 예컨대, 스핀 코팅, 화학적 기상 증착, 원자층 증착 및 물리적인 기상 증착을 이용하여 증착 챔버에서 수행될 수 있다.
본 발명이 적용되는 추가의 분야는 이하 제공된 상세한 설명으로부터 자명하게 될 것이다. 상세한 설명 및 특정의 예는 다양한 구체예를 나타내면서 단지 본 발명을 예시하기 위한 것이며, 본 발명의 범위를 반드시 제한하고자 하는 것이 아님을 이해해야 한다.
도면의 간단한 설명
도 1은 전형적인 하드마스크 패턴화 공정에서의 다양한 단계에 대한 결과를 나타낸다.
도 2는 본 발명의 한 가지 구체예에 따른 하드마스크 패턴화 공정에서의 다양한 단계에 대한 결과를 나타낸다.
도 3은 본 발명의 한 가지 구체예에 따른 하드마스크 패턴화를 위한 방법을 도시하는 흐름도를 나타낸다.
도 4는 본 발명의 한 가지 구체예에 따라 기판상에 옥사이드 층을 형성시키는 예시적인 방법의 단계들을 포함하는 공정의 흐름도를 나타낸다.
도 5a는 예시적인 박막 필름 증착 시스템의 수직 단면도를 나타낸다.
도 5b는 박막 증착 시스템의 예시적인 시스템 모니터/제어기 부품의 개략도이다.
첨부된 도면에서, 유사한 부품 및/또는 특징부는 동일한 참조 라벨을 지닐 수 있다. 추가로, 동일한 유형의 다양한 부품은 유사한 부품을 구별하는 대시(dash)와 두 번째 라벨에 의한 참조 라벨에 의해서 구별될 수 있다. 단지 첫 번째 참조 라벨이 명세서에서 사용되는 경우, 상세한 설명은 두 번째 참조 라벨과는 무관하게 첫 번째 참조 라벨을 지니는 유사한 부품 중 하나에 적용 가능하다.
발명의 상세한 설명
이하 설명은 단지 본 발명의 바람직한 구체예(들)을 제공하고 있으며, 본 발명의 범위, 적용성 또는 형태를 제한하고자 하는 것은 아니다. 오히려, 바람직한 예시적 구체예(들)의 이하 설명은 본 기술분야의 전문가에게 바람직한 예시적인 구체예를 실행시키는 것을 가능하게 하는 설명을 제공한다. 첨부된 청구범위에 기재된 사상 및 범위를 벗어나지 않으면서 다양한 변화가 구성요소의 기능 및 배열에서 이루어질 수 있음이 이해될 것이다.
본 발명의 구체예는 포토레지스트 증착 및 에칭에 대한 필요를 없애면서 패턴화된 하드마스크 층을 제공하는 공정, 방법 및 장치를 포함한다. 본 발명의 구체예는 기판상에 하드마스크 층, 예를 들어, 실리콘 옥사이드를 포함하는 하드마스크 층을 증착시킨다. 일부 구체예에서, 하드마스크는 노출 전에 어닐링 또는 베이킹 공정이 진행될 수 있다. 하드마스크 층의 일부는 이어서 자외선에 노출되어 하드마스크 층상에 노출 패턴을 형성시킬 수 있다. 노출 후에, 습식 에칭이 적용되어 하드마스크 층의 비노출된 부분을 제거하고, 노출된 하드마스크 층의 부분을 남길 수 있다. 습식 에칭은, 예를 들어, HF를 포함할 수 있다. 습식 에칭 후에, 일부 구체예에서는, 하드마스크 패턴이 장래의 공정을 위해서 하드마스크 층의 성질을 추가로 변화시키는 플라즈마 처리 또는 어닐링 처리에 주어질 수 있다. 이러한 예시적인 공정의 다양한 변화 및 변경이 포함될 수 있다.
그러한 하드마스크 패턴화가, 예를 들어, 반도체 제조, 미세전자기계 시스템 제조, 태양전지 제조 및 그 밖의 장치의 제조에서 이용될 수 있다.
도 1은 전형적인 하드마스크 에칭 공정에서의 다양한 단계에 대한 결과를 나타내고 있다. 기판(110)이 단계(100)에서 제공된다. 하드마스크 층(115)이 기판(110)상에 증착되며, 그 결과가 (101)에 도시되어 있고, 그 후에, 포토레지스트(120)가 하드마스크 층(115)상에 증착되며, 그 결과가 (102)에 도시되어 있다. (103)을 참조하여 보면, UV 광(130)이 특정의 패턴으로 포토레지스트(120)를 노출시킨다. UV 광(130)이 스테퍼(stepper) 또는 다른 포토리소그래피 시스템으로부터 방출될 수 있다. UV 광(130)에 노출된 포토레지스트(120)의 일부는 이어서 PR 현상 단계를 이용함으로써 제거되고, 그 결과가 (104)에 도시되어 있다. 이어서, 하드마스크가 건식 또는 습식 에칭되고, 그 결과가 (105)에 도시되어 있다. 포토레지스트의 에칭 후에, 포토레지스트는 애싱 공정을 이용함으로써 제거될 수 있으며, 그 결과가 (106)에 도시되어 있고, 후속하여 습식 에칭 단계(107)가 이어진다. 이들 도면 및 설명이 나타내고 있는 바와 같이, 하드마스크의 전형적인 에칭은 많은 공정을 필요로 한다. 가장 주목할만하게는, 공정은 증착 및 에칭 단계를 필요로 하는 포토레지스트의 사용을 필요로 한다. 상기 기재된 하드마스크 에칭 공정에 대한 변화가 존재하지만, 하드마스크 에칭 공정은 전형적으로는 이러한 시간 소모적인 포토레지스트 증착 및 에칭 단계를 포함한다.
다른 한편으로, 본 발명의 구체예는 포토레지스트 증착 및 에칭 단계에 대한 필요를 제거하는 하드마스크 에칭 공정을 포함한다. 도 2는 본 발명의 한 가지 구체예에 따라서 포토레지스트의 사용이 없는 하드마스크 에칭 공정의 결과를 나타내고 있다. 기판(110)이 도 1에서의 (100)과 유사하게 (201)에 도시되어 있다. 기판(110)은, 예를 들어, 실리콘 기판, III-V 화합물 기판, 실리콘/게르마늄(SiGe) 기판, 에피-기판(epi-substrate), 실리콘-온-인슐레이터(silicon-on-insulator) 기판, 디스플레이 기판, 예컨대, 액정 디스플레이(LCD), 플라즈마 디스플레이, 전계발광(electro luminescence (EL)) 램프 디스플레이, 또는 발광 다이오드(LED) 기판일 수 있다. 일부 구체예에서, 기판(110)은 하나 이상의 구조물, 예컨대, 트렌치 구조물(trench structure), 웰(well), 정션(junction), 다이오드, 트랜지스터, 금속-옥사이드-반도체 전계효과 트랜지스터(metal-oxide-semiconductor field effect transistor(MOSFET)), 층간 유전(interlayer dielectric (ILD)) 구조물, 금속간 유전(inter-metal dielectric (IMD)) 구조물, 회로, 다른 반도체 구조물 또는 다양한 이들의 조합을 포함할 수 있다. 기판(110)은 반도체 웨이퍼(약, 200mm, 300mm, 400mm 등, 실리콘 웨이퍼)일 수 있다. 일부 구체예에서, 기판(110)은 하나 이상의 트렌치를 지닌 수 있다. 일부 구체예에서, 기판(110)은 반도체 웨이퍼(예, 200mm, 300mm, 400mm 등, 실리콘 웨이퍼)일 수 있으며, 앞선 공정에서 형성된 구조물, 디바이스 부분 등을 포함할 수 있다. 예를 들어, 기판은 높은 높이 대 폭 종횡비(예, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 또는 12:1 또는 그 초과의 종횡비)를 지닌 트렌치를 포함할 수 있다.
하드마스크 층(115)이 (202)에 도시된 바와 같이 기판(110)의 표면상에 증착된다. 하드마스크 층(115)은 실리콘 옥사이드 층일 수 있다. 하드마스크 층(115)은 다음 증착 기술중 어느 기술을 이용함으로써 증착될 수 있다: 스핀 코팅, 화학적 기상 증착, 원자층 증착, 및/또는 물리적 기상 증착.
본 발명의 한 가지 구체예에 따른 하드마스크 층은 실리콘 옥사이드를 포함할 수 있다. 예를 들어, 하드마스크 증착 화학은 베이킹 조건하의 가변 탄소(tunable carbon)를 포함하는 가변 SiOC, 베이킹 상태 및/또는 전구체 화학물질을 포함하는 SiO 및/또는 질소 공급원으로서 NH3를 포함한 전구체 화학물질을 포함하는 SiON를 포함할 수 있다. 다양한 그 밖의 증착 기술이 하드마스크 층을 증착시키기 위해서 이용될 수 있다. 예시적인 기술이 본원에서 후에 기재되고 있다.
증착 후에, 하드마스크 층(115)은 임의의 어닐링이 진행될 수 있다. 어닐링 공정은 E를 증가시킴으로써 막을 강화시키는데 이용될 수 있다. 어닐링은 또한, 예를 들어, 하드마스크 막의 n 및 k 값을 변화시킴으로써, 하드마스크 막의 광학적 품질을 개선시킬 수 있다. 어닐링은 단일 단계 또는 다단계 어닐링을 포함할 수 있다. 어닐링은 또한 습식 또는 건식 어닐링일 수 있다.
본 발명의 한 가지 구체예에 따르면, 단일 단계 어닐링이 이용될 수 있다. 한 가지 구체예에 따른 어닐링은 30℃ 내지 750℃의 온도에서 및 N2, Ar, 및/또는 그 밖의 불활성 가스를 포함하는 환경에서 수행될 수 있다. 또한, 베이킹 환경은 O2, H2O, NH3, 및/또는 N2O를 포함할 수 있다. 본 발명의 또 다른 구체예에서, 어닐링은 증착된 층을 실질적으로 건조한 대기(예, 무수 질소, 헬륨, 아르곤, 등)중에서 약 300℃ 내지 약 1000℃(예, 약 600℃ 내지 약 900℃)로 가열함으로써 수행될 수 있다. 어닐링은 증착된 층으로부터 수분을 제거할 수 있으며, Si-OH 기를 실리콘 옥사이드로 전환시킬 수 있다. 어닐링된 실리콘 옥사이드 층은 개선된 막 품질(예, 약 6 내지 약 3, 또는 그 미만의 WERR) 및 유전체로서의 개선된 품질(예, 순수한 실리콘 디옥사이드에 근접하거나 그와 동일한 k-값)을 지닐 수 있다. 일부 구체예에서, 어닐링 단계는 질소 환경 중의 약 900℃에서 약 1 시간 동안 수행될 수 있다.
일부 구체예에서, 다단계 어닐링은 2-단계 어닐링을 포함할 수 있으며, 여기서, 하드마스크 층(115)이 먼저 습식 어닐링 스테이지(stage), 예컨대, 층을 스팀의 존재하에, 예를 들어, 약 650℃로 가열하는 습식 어닐링 스테이지가 진행될 수 있다. 이에 이어서 건식 어닐링 스테이지가 수행될 수 있으며, 여기서, 층은 수분이 실질적으로 없는 대기(예, 무수 N2)중에서 더 높은 온도(예, 약 900℃)로 가열될 수 있다.
습식 및 건식 열 어닐링에 추가로, 다른 어닐링 기술(단독으로 또는 조합으로)이 이용되어 하드마스크 층(115)을 어닐링시킬 수 있다. 이들 어닐링은, 다른 어닐링 중에서도, 스팀 어닐링, 열 어닐링, 유도 결합 플라즈마(ICP) 어닐링, 자외선 어닐링, 전자-빔 어닐링, 산 증기 촉매 어닐링, 염기 증기 촉매 어닐링 및/또는 마이크로파 어닐링을 포함한다.
이어서, 하드마스크 층(115)은 (203)에 도시된 바와 같이 UV 광(130)에 노출될 수 있다. 도면은 하드마스크 층(115)의 표면상에 입사된 UV 광(130) 레이의 패턴을 도시하고 있다. 예를 들어, UV 광(130)은 약 의 파장의 광을 포함할 수 있다. UV 광(130) 노출은 스테퍼 또는 그 밖의 포토리소그래피 장치에서 발생할 수 있다. 스테퍼는 하드마스크 층(115)상에 UV 광(130) 패턴을 생성시키는 레티클 및 포토마스크를 통해서 UV 광(130)을 통과시킬 수 있다. 다양한 영상 패턴화 기술, 장치 및/또는 공정이 이용되어 UV 광(130)으로 하드마스크상에 패턴을 생성시킬 수 있다.
하드마스크 층(115)을 UV 광 패턴에 노출시킨 후에, 습식 에칭이 수행되어 UV 광(130)에 노출되지 않은 하드마스크 막 부분을 제거할 수 있으며, 그 결과가 (204)에 도시되어 있다. 습식 에칭은 HF를 함유하는 에칭제(etchant)를 포함할 수 있다. 추가의 구성성분, 예컨대, HF, NH4OH, SCl, 및/또는 RCA가 에칭제에 포함될 수 있다. 습식 에칭은 하드마스크의 노출 및 비노출 부분 사이의 에칭 선택성을 개선시키는 성분 및/또는 농도를 포함할 수 있다.
습식 에칭 후에, 플라즈마 처리 및/또는 어닐링이 적용되어 장래의 공정을 위해서 하드마스크의 성질을 조절할 수 있다. 어닐링은 습식 어닐링, 건식 어닐링, 스팀 어닐링, 자외선 어닐링, 전자-빔 어닐링, 산 증기 촉매 어닐링, 염기 증기 촉매 어닐링 및 마이크로파 어닐링, 단일 공정 어닐링 및/또는 다수-공정 어닐링일 수 있다. 어닐링은 불활성 가스, 예컨대, Ar, Ne, N2 등, 또는 반응성 환경, 예컨대, O2, H2O, NH3, N2/H2 및 N2O 내에서 수행할 수 있다. 플라즈마 처리는 O2, N2, Ar, H2O, N2O, 및/또는 어떠한 불활성 가스 중의 용량 결합 플라즈마 및/또는 유도 결합 플라즈마를 포함할 수 있다.
도 3은 본 발명의 한 가지 구체예에 따른 UV 하드마스크 패턴화 공정의 다양한 단계를 도시하는 흐름도이다. 하드마스크 막은 블록 (305)에서 기판상에 증착된다. 하드마스크 막은, 예를 들어, 실리콘 옥사이드를 포함할 수 있다. 증착은 스핀 코팅, 화학적 기상 증착, 원자층 증착 및 물리적 기상 증착을 포함할 수 있다. 증착 후에, 일부의 경우에, 하드마스크는 블록 (310)에서 베이킹되거나 어닐링될 수 있다. 임의의 어닐링 기술이 이용될 수 있다. 하드마스크는 이어서 블록 (315)에서 일정 패턴의 UV 광에 노출될 수 있다. 노출 시간은 하드마스크 화학조성, 어닐링 기간(있는 경우), 대기, 및/또는 하드마스크 요건에 좌우될 수 있다. UV 노출 후에, 하드마스크는, 예를 들어, 블록(320)에서 습식 에칭을 이용하여 에칭될 수 있다. 에칭 후에, 임의의 플라즈마 처리 및/또는 어닐링이 블록(325)에서 하드마스크 성질을 조절하기 위해서 적용될 수 있다.
도 4는 기판상에 옥사이드 층을 형성시키는 예시적인 방법(400)에서의 단계를 포함하는 흐름도이다. 방법(400)은 단계(402)에서 증착 챔버에 기판(110)을 제공함을 포함할 수 있다. 기판(110)은, 예를 들어, 실리콘 기판, III-V 화합물 기판, 실리콘/게르마늄 기판, 에피-기판(epi-substrate), 실리콘-온-인슐레이터(silicon-on-insulator) 기판, 디스플레이 기판, 예컨대, 액정 디스플레이(LCD), 플라즈마 디스플레이, 전계발광(EL) 램프 디스플레이, 또는 발광 다이오드(LED) 기판일 수 있다. 일부 구체예에서, 기판(110)은 하나 이상의 구조물, 예컨대, 트렌치 구조물(trench structure), 웰(well), 정션(junction), 다이오드, 트랜지스터, 금속-옥사이드-반도체 전계효과 트랜지스터(metal-oxide-semiconductor field effect transistor(MOSFET)), 층간 유전(interlayer dielectric (ILD)) 구조물, 금속간 유전(inter-metal dielectric (IMD)) 구조물, 회로, 다른 반도체 구조물 또는 다양한 이들의 조합을 포함할 수 있다. 기판(110)은 반도체 웨이퍼(약, 200mm, 300mm, 400mm 등, 실리콘 웨이퍼)일 수 있다. 일부 구체예에서, 기판(110)은 하나 이상의 트렌치, 예컨대, 도 2의 (200)에서 도시된 바와 같이 기판내에 형성된 트렌치를 지닌 수 있다. 일부 구체예에서, 기판(110)은 반도체 웨이퍼(예, 200mm, 300mm, 400mm 등, 실리콘 웨이퍼)일 수 있으며, 앞선 공정에서 형성된 구조물, 디바이스 부분 등을 포함할 수 있다. 예를 들어, 기판은 높은 높이 대 폭 종횡비(예, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 또는 12:1 또는 그 초과의 종횡비)를 지닌 트렌치를 포함할 수 있다.
일부 구체예에서, 방법(400)은 단계(404)에서 증착 챔버의 외부의 한 지역에서 원자 산소 전구체를 원격 생산함을 포함할 수 있다. 원자 산소 전구체는 산소 함유 전구체, 예컨대, 분자 산소(O2), 오존(O3), 질소-산소 화합물(예, NO, NO2, N2O, 등), 수소-산소 화합물(예, H2O, H2O2, 등), 탄소-산소 화합물(예, CO, CO2, 등) 뿐만 아니라, 다른 산소 함유 전구체 및 이들 전구체의 조합물의 해리에 의해서 생성될 수 있다.
일부 구체예에서, 원자 산소 전구체는 오존-함유 전구체의 해리에 의해서 생성될 수 있다. 오존-함유 전구체는 산소와 오존의 혼합 가스일 수 있다. 예를 들어, 산소가 오존 발생장치내로 제공될 수 있다. 오존 발생장치내에서, 산소의 적어도 일부가 오존으로서 오존화될 수 있다. 일부 구체예에서, 산소는 약 3의 분당 표준 리터(standard liters per minute (slm)) 내지 약 20slm의 유량을 지닐 수 있다. 오존화 후에, 산소내의 오존은 약 6% 내지 약 20%의 중량 백분율을 지닐 수 있다.
일부 구체예에서, 원자 산소를 생성시키는 산소 함유 전구체의 해리는, 다른 방법 중에서도, 열적 해리, 자외선 해리, 및/또는 플라즈마 해리에 의해서 수행될 수 있다. 플라즈마 해리는 원격 플라즈마 생성 챔버에서 헬륨, 아르곤 등으로부터 플라즈마를 발생시키고 산소 전구체를 그러한 플라즈마에 도입하여 원자 산소 전구체를 생성시킴을 포함할 수 있다.
원자 산소 전구체는 단계(406)에서 증착 챔버에 도입일 수 있으며, 그러한 챔버에서, 원자 산소 전구체가 단계(408)에서 챔버에 도입될 수 있는 실리콘 전구체와 처음으로 혼합될 수 있다. 원자 산소 전구체는 단계(410)에서 실리콘 전구체(및 반응 챔버에 존재할 수 있는 다른 증착 전구체)와 약 -10℃ 내지 약 200℃의 온도 및 약 10 Torr 내지 약 760 Torr의 전체 챔버 압력의 압력에서 반응하여 실리콘 옥사이드 하드마스크 층(115)을 형성시킬 수 있다(도 2에 도시됨). 실리콘 옥사이드 막(110)은 트렌치의 종횡비를 트렌치의 종횡비로 감소시킬 수 있다.
실리콘 전구체는 약 8 또는 그 미만의 C:Si 원자 비율(7, 6, 5, 4, 3, 2, 1 또는 그 미만의 C:Si 비율)을 지닐 수 있다. 이는 모든 실리콘 원자를 위한 실리콘 전구체 분자에서 8보다 적은 탄소원자가 존재함을 의미한다.
일부 구체예에서, 실리콘 전구체는 실록산 화합물, 예컨대, 다른 실록산 화합물중에서도, 트리에톡시실록산(TRIES), 테트라에톡시실록산(TMOS), 트리메톡시실록산(TRIMOS), 헥사메톡시디실록산(HMODS), 옥타메톡시트리실록산(OMOTS), 및/또는 옥타메톡시도데카실록산(OMODDS)일 수 있다:
Figure pct00001
다른 구체예에서, 실리콘 전구체는 또한 하나 이상의 질소기를 포함하는 실라족산 화합물일 수 있다. 그러한 실라족산 화합물은, 다른 실라족산 화합물중에서도, 헥사메톡시디실라족산(HMDS-H), 메틸 헥사메톡시디실라족산(HMDS-CH3), 클로로헥사메톡시디실라족산(HMDS-Cl), 헥사에톡시디실라족산(HEDS-H), 나노메톡시트리실라족산(NMTS), 및 옥타메톡시시클릭실라족산(OMCS)을 포함할 수 있다:
*
Figure pct00002
또 다른 구체예에서, 실리콘 전구체는 하나 이상의 할로겐기(예, 플루오라이드, 클로라이드, 브로마이드 또는 요오다이드기)를 포함하는 할로겐화된 실록산 화합물일 수 있다. 예를 들어, 할로겐화된 실록산은 염소화된 실록산 화합물, 예컨대, 다른 염소화된 실록산 화합물 중에서도, 테트라클로로실란(TECS), 디클로로디에톡시실록산(DCDES), 클로로트리에톡시실록산(CTES), 헥사클로로디실록산(HCDS), 및/또는 옥타클로로트리실록산(OCTS)일 수 있다:
Figure pct00003
실리콘 전구체는 약 0, 0.5, 1, 2, 3, 4, 5, 6, 등 또는 그 초과의 O:Si 비율을 지닌다. 예를 들어, TMOS는 약 4의 O:Si 원자 비율을 지닌다. 다른 실리콘 전구체, 예컨대, TRIES, 및 TRIMOS는 약 3의 O:Si 비율을 지닌다. 또 다른 것들, 예컨대, HCDS는 0.5의 O:Si 비율을 지니며, TECS는 0의 O:Si 비율을 지닌다.
실리콘 전구체는, 다른 유기-실리콘 화합물중에서도, HMODS, OMOTS, OMODDS, HCDS, 및 OCTS에서 나타나는 바와 같은, Si-0-Si 연결을 포함할 수 있다. 실리콘 전구체에서의 이러한 연결은 탄소 및 히드록실기로부터 오염이 감소된 SiOx 필름의 형성을 촉진할 수 있다.
일부 구체예에서, 실리콘 전구체는, 다른 화합물 중에서도, 아미노실란, 예컨대, 트리실릴아민(TSA), 헥사메틸디실라잔 (HMDS), 실라트란, 테트라키스(디메틸아미노)실란, 비스(디에틸아미노)실란, 비스-3차-부틸아미노실란; 비스-디메틸아미노실란 (BDMAS), 트리스(디메틸아미노)클로로실란, 및 메틸실라트란을 포함할 수 있다:
Figure pct00004
다른 구체예에서, 실리콘 전구체는 알콕시 디실란, 알콕시-알킬 디실란, 및 알콕시-아세톡시 디실란을 포함한 디실란을 포함할 수 있다. 알콕시 디실란은 하기 디실란을 포함할 수 있다:
Figure pct00005
상기 식에서, R1-6은 독립적으로 C1-3 알킬옥시기이다. 예를 들어, 알콕시 디실란은, 다른 알콕시 디실란 중에서도, 헥사메톡시디실란, 및 헥사에톡시디실란을 포함할 수 있다.
알콕시 디실란은 Si 부분에 결합된 알콕시기를 지닌 시클릭 디실란 화합물을 포함할 수 있다. 예를 들어, 알콕시 디실란은, 다른 것들 중에서도, 옥타에톡시시클로부타실란, 데카부타옥시시클로펜타실란, 및 도데카메톡시시클로헥사실란을 포함할 수 있다. 알콕시 디실란의 일부 예가 하기 도시되어 있다:
Figure pct00006
알콕시-알킬 디실란은 다음 화합물을 포함할 수 있다:
Figure pct00007
상기 식에서, R7-12는 독립적으로 C1-3 알킬기 또는 C1-3 알킬옥시기이고, R7-12중의 하나 이상이 알킬기이고, R7-12중의 하나 이상이 알콕시기이다. 알콕시-알킬 디실란은 또한 알킬 및 알콕시 부분을 지니는 시클릭 디실란, 예컨대, 결합된 하나 이상의 알킬 및 알콕시를 지니는 부타실란, 펜타실란, 헥사실란, 헵타실란, 옥타실란 등을 포함할 수 있다. 그러한 예는, 다른 알콕시-알킬 시클로실란 중에서도, 옥타메틸-1,4-디옥사-2,3,5,6-테트라실라시클로헥산; 1,4-디옥사-2,3,5,6-테트라실라시클로헥산; 및 l,2,3,4,5,6-헥사메톡시-1,2,3,4,5,6-헥사메틸시클로헥사실란을 포함한다. 알콕시-알킬 디실란의 일부 예가 하기 도시되어 있다:
Figure pct00008
알콕시-아세톡시 디실란은 다음 화합물을 포함할 수 있다:
Figure pct00009
상기 식에서, R13-17은 독립적으로 C1-3 알킬기, C1-3 알콕시기, 또는 아세톡시기일 일 수 있으며, R13 -17중 하나 이상이 알콕시기이고, R13 -17중 하나 이상이 아세톡시기이다.
또 다른 구체예에서, 실리콘 전구체는 유기시클로실란, 예컨대, 시클로부타실란, 시클로펜타실란, 시클로헥사실란, 시클로헵타실란, 시클로옥타실란 등을 포함할 수 있다.
일부 구체예에서, 실리콘 전구체는 이것이 증착 챔버에 도입되기 전에 또는 그 동안에 캐리어 가스와 혼합될 수 있다. 캐리어 가스는 기판(110)상에 옥사이드 필름(115)의 형성을 실질적으로 방해하지 않는 불활성 가스일 수 있다. 캐리어 가스의 예는, 다른 가스들 중에서도, 헬륨, 네온, 아르곤, 및 수소(H2)를 포함할 수 있다.
방법(400)의 구체예에서, 원자 산소 전구체 및 실리콘 전구체는 증착 챔버에 도입되기 전에 혼합되지 않을 수 있다. 전구체는 반응 챔버 주위에 분포된 별도의 공간적으로 분리된 전구체 유입구를 통해서 챔버에 유입될 수 있다. 예를 들어, 원자 산소 전구체는 챔버 상부에서 및 기판 위에 직접적으로 위치된 유입구(또는 유입구들)로부터 유입될 수 있다. 유입구는 기판 증착 표면에 실질적으로 수직인 방향으로 산소 전구체의 흐름을 유도할 수 있다. 또한, 실리콘 전구체는 증착 챔버의 측면 주위의 하나 이상의 유입구로부터 유입될 수 있다. 이러한 유입구는 증착 표면에 대체로 평행한 방향으로 실리콘 전구체의 흐름을 유도할 수 있다.
일부 구체예에서, 원자 산소 전구체 및 실리콘 전구체는 다중-포트 샤워헤드의 별도의 포트(port)를 통해서 보내질 수 있다. 예를 들어, 기판 위에 위치한 샤워헤드는 전구체가 증착 챔버에 유입되게 하는 일정한 패턴의 구멍들을 포함할 수 있다. 구멍들의 한 서브셋(subset)에는 원자 산소 전구체가 공급될 수 있지만, 구멍들의 두 번째 서브세트에는 실리콘 전구체가 공급된다. 상이한 세트의 구멍들을 통해서 이동하는 전구체는 증착 챔버로 빠져나갈 때까지 서로 유체적으로 분리될 수 있다. 전구체 취급 장비의 유형 및 디자인에 대한 추가의 상세한 사항은 발명의 명칭이 "Process Chamber For Dielectric Gapfill"이고 2006년 5월 30일자 출원된 루보미르스키 등의 공동-양도된 미국가특허출원 제60/803,499호에 기재되어 있으며, 본원에서는 이의 전체 내용을 모든 목적을 위해서 참조로 통합한다.
원자 산소 전구체 및 실리콘 전구체가 증착 챔버에서 반응함에 따라서, 이들은 단계(410)에서 기판 증착 표면상에 실리콘 옥사이드 층(115)을 형성시킬 수 있다. 초기의 옥사이드 층은 요구된 유동성을 지닐 수 있으며, 바람직하게는 증착 표면에 존재하는 구조물중의 갭, 트렌치, 공극, 시임 등으로 이동할 수 있다. 이러한 이동은 방법(400)이 높은 높이 대 폭 종횡비(예, 5:1, 6:1, 6:1, 8:1, 9:1, 10:1, 11:1, 및 12:1 또는 그 초과의 종횡비)를 지니는 갭, 트렌치 및 그 밖의 표면 구조물이 실질적으로 없을 수 있는 옥사이드 충전물을 제공하게 할 수 있다. 실리콘 옥사이드 층은 이어서 블록(412)에서 경화될 수 있다.
도 5a를 참조하면, 챔버 벽(15a)과 챔버 리드 어셈블리(chamber lid assembly: 15b)를 포함하는 진공 또는 가공 챔버(15)를 지니는 CVD 시스템(10)의 수직 단면도가 도시되어 있다. CVD 시스템(10)은 공정 챔버(15)내 중앙에 있는 가열된 페데스탈(pedestal: 12)상에 지지되는 기판(도시되지 않음)에 공정 가스를 분산시키는 가스 분배 매니폴드(gas distribution manifold: 11)를 포함한다. 가스 분배 매니폴드(11)는 용량성 플라즈마를 형성시키는 전극으로서 작동시키기 위해서 전기 전도성 재료로 형성시킬 수 있다. 공정 동안에, 기판(예, 반도체 웨이퍼)은 페데스탈(12)의 평탄(또는 약간 볼록) 표면(12a)상에 위치된다. 페데스탈(12)은 하부 로딩/오프-로딩 위치(도 5a에 도시됨)와 상부 가공 위치(도 5a에서 점선(14)로 표시됨) 사이에서 제어 가능하게 이동할 수 있으며, 상부 가공 위치는 매니폴드(11)에 아주 근접되어 있다. 센터보드(도시되지 않음)는 웨이퍼의 위치에 대한 정보를 제공하는 센서를 포함한다.
증착 가스와 캐리어 가스는 통상의 평탄, 환형 가스 분배 면판(flat, circular gas distribution faceplate: 13a)의 천공 홀을 통해서 챔버(15)내로 도입된다. 더욱 특히, 증착 공정 가스는 유입 매니폴드(11), 통상의 천공 차폐 플레이트 및 이어서 가스 분배 면판(13a)내의 홀를 통해서 챔버내로 흐른다.
매니폴드(11)에 도달하기 전에, 증착 및 캐리어 가스는 가스 공급원으로부터 가스 공급 라인을 통해 혼합 시스템내로 유입되고, 그러한 시스템에서, 이들이 혼합되고, 이어서, 매니폴드(11)에 전달된다. 일반적으로 각각의 공정 가스를 위한 공급 라인은 (i) 챔버내로의 공정 가스의 흐름을 자동적으로 또는 수동적으로 차단하는데 사용될 수 있는 안전 차단 밸브(도시되지 않음), 및 (ii) 공급 라인을 통한 가스의 흐름을 측정하는 질량 흐름 제어기(또한 도시되지 않음)를 포함한다. 독성 가스가 공정에 사용되는 경우, 몇 개의 안전 차단 밸브가 통상의 배열로 각각의 가스 공급 라인상에 위치된다.
CVD 시스템(10)에서 수행되는 증착 공정은 열적 공정 또는 플라즈마-증진 공정일 수 있다. 플라즈마-증진 공정에서, RF 파워 서플라이는 가스 분배 면판(13a)과 페데스탈(12) 사이에 전기적 파워를 가하여 공정 가스 혼합물이 여기(exitation)되어 가스 분배 면판(13a)과 페데스탈(12) 사이의 실린더형 영역내에서 플라스마가 형성되게 한다. (이러한 영역은 본원에서 "반응 영역"이라 칭할 것이다). 플라즈마의 구성물은 반응하여 페데스탈(12)상에 지지된 반도체 웨이퍼의 표면상에 증착된 필름을 증착시킨다. RF 파워 서플라이는 진공 챔버(15)내로 도입된 반응성 화학종의 분해를 향상시키기 위해서 전형적으로 13.56MHz의 높은 RF 주파수(RF1)와 360KHz의 낮은 RF 주파수(RF2)의 파워를 공급하는 혼합된 주파수 RF 파워 서플라이이다. 열적 공정에서, RF 파워 서플라이는 이용되지 않을 수 있으며, 공정 가스 혼합물은 열적으로 반응하여 페데스탈(12)상에 지지된 반도체 웨이퍼의 표면상에 요구된 필름을 증착시키며, 상기 페데스탈은 저항으로 가열되어 반응을 위한 열 에너지를 제공한다.
플라즈마-증진 증착 공정 동안에, 플라즈마는 배출 통로(23)와 차단 밸브(24)를 둘러싸는 챔버 보디의 벽(15a)을 포함한 전체 공정 챔버(15)를 가열한다. 플라즈마가 차단되는 경우나, 열적 증착 공정 동안에는, 고온 액체가 공정 챔버(15)의 벽(15a)을 통해서 순환되어 챔버를 상승된 온도로 유지시킨다. 챔버 벽(15a)의 나머지 부분의 통로는 도시되지 않았다. 챔버 벽(15a)을 가열하는데 사용되는 유체는 전형적인 유체 유형, 즉, 물-기재 에틸렌 글리콜 또는 오일-기재 열전달 유체를 포함한다. 이러한 가열("열 교환"에 의한 가열을 나타냄)은 유리하게는 바람직하지 않은 반응 생성물의 응축을 감소시키거나 제거하고, 공정 가스, 및 차가운 진공 통로의 벽상에 응축되거나 가스 흐름이 없는 동안 공정 챔버내로 역류되는 경우에 공정을 오염시킬 수 있는 공정 가스 휘발 생성물 및 그 밖의 오염물의 제거를 개선시킨다.
반응 부산물을 포함한, 층에 증착되지 않은 가스 혼합물의 잔류물이 진공 펌프(도시되지 않음)에 의해서 챔버(15)로부터 흡인 제거된다. 특히, 가스는 반응 영역을 둘러싸고 있는 환형의 슬롯-모양 오리피스(16)를 통해서 및 환형의 배출 플레넘(plenum: 17)내로 배출된다. 환형의 슬롯(16)과 플레넘(17)은 챔버의 실린더형 측벽(15a)(벽상의 상부 유전 라이닝(lining: 19)을 포함함)의 상부와 환형의 챔버 리드(lid: 20)의 하부 사이의 갭에 의해서 규정된다. 슬롯 오리피스(16)와 플레넘(17)의 360도 회전 대칭 및 균일성이 웨이퍼상에 균일한 필름을 증착시키기 위해서 웨이퍼상의 공정 가스의 균일한 흐름을 달성하기에 중요하다.
배출 플레넘(17)으로부터, 가스는 배출 플레넘(17)의 측면 연장부(21) 밑으로 흘러서, 관찰 포트(viewing port)(도시되지 않음)를 통과하고, 하향-연장 가스 통로(23)을 통해서 흐르고, 진공 차단 밸브(24)(이의 보디는 하부 챔버 벽(15a)과 일체화되어 있다)를 통과하고, 포어라인(foreline)(도시되지 않음)을 통해서 외부 진공 펌프(도시되지 않음)에 연결되는 배출 출구(25)내로 흐른다.
페데스탈(12)의 웨이퍼 지지 플래터(platter)(바람직하게는, 알루미늄, 세라믹 또는 이들의 조합물)는 평행 동심원의 형태로 두 개의 완전한 회전을 형성하도록 구성된 내장형 단일-루프 내장 히터 엘리먼트를 사용함으로써 저항에 의해서 가열된다. 히터 엘리먼트의 외부는 지지 플래터의 주변에 인접해서 연장되어 있지만, 내부는 더 작은 반경을 지닌 동심원의 경로로 따라서 연장되어 있다. 히터 엘리먼트에 대한 배선은 페데스탈(12)의 스템(stem)을 통해서 통과한다.
전형적으로는, 챔버 라이닝의 전부 또는 어떠한 부분, 가스 유입 매니폴드 면판, 및 다양한 그 밖의 반응기 하드웨어는 알루미늄, 아노다이징 처리된(anodized) 알루미늄, 세라믹과 같은 재료로 제조된다. 그러한 CVD 장치의 예는 발명의 명칭이 "CVD Processing Chamber"인 자오(Zhao) 등에게 허여된 공동-양도된 미국특허 제5,558,717호에 기재되어 있으며, 본원에서는 상기 특허의 모든 내용을 참조로 통합한다.
웨이퍼가 챔버의 측면(10)에 있는 삽입/제거 구멍(26)을 통해서 로버트 블래이드(robot blade)(도시되지 않음)에 의해서 챔버의 보디(15)내로 및 그 밖으로 전달됨에 따라서, 리프트 메카니즘 및 모터(32)(도 5a)가 히터 페데스탈 어셈블리(12) 및 그의 웨이퍼 리프트 핀(12b)을 상승시키고 하강시킨다. 모터(32)는 페데스탈(12)을 공정 위치(14)와 하부 웨이퍼-로딩 위치 사이에서 상승시키고 하강시킨다. 모터, 공급 라인에 연결된 밸브 또는 흐름 제어기, 가스 전달 시스템, 트로틀 밸브, RF 파워 서플라이, 및 챔버 및 기판 가열 시스템은 제어 라인상의 시스템 제어기에 의해서 모두 제어되며, 이들중 단지 일부만이 도시되어 있다. 제어기는 광학 센서로부터의 피드백에 따라서 이동 가능한 기계적 어셈블리, 예컨대, 제어기 의 제어하에 적절한 모터에 의해서 이동하는 트로틀 밸브 및 서셉터(susceptor)의 위치를 결정한다.
예시적인 구체예에서, 시스템 제어기는 하드 디스크 드라이브(메모리), 플로피 디스크 드라이브 및 프로세서를 포함한다. 프로세서는 싱글 보드 컴퓨터(single-board computer (SBC)), 아날로그 및 디지탈 입력/출력 보드, 인터페이스 보드 및 스테퍼 모터 제어 보드(stepper motor controller board)를 포함한다. CVD 시스템(10)의 여러 부분이 보드, 카드 케이지 및 접속기 치수 및 유형을 규정하는 베르사 모듈러 유러피언(Versa Modular European (VME)) 표준에 부합한다. VME 표준은 또한 16-비트 데이타 버스 및 24-비트 어드레스 버스(address bus)를 지니는 버스 구조를 규정한다.
시스템 제어기는 CVD 기계의 모든 활성을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행시키며, 그러한 소프트웨어는 메모리와 같은 컴퓨터-판독 가능한 매체에 저장된 컴퓨터 프로그램이다. 바람직하게는, 메모리는 하드 디스크 드라이브이지만, 메모리는 그 밖의 종류의 매모리일 수도 있다. 컴퓨터 프로그램은 타이밍(timing), 가스의 혼합, 챔버 압력, 챔버 온도, RF 파워 수준, 서셉터 위치, 및 그 밖의 특정의 공정 파라메타를 명령하는 명령들의 세트를 포함한다. 예를 들어, 플로피 디스크 또는 그 밖의 다른 적절한 드라이브를 포함한 다른 매모리 디바이스에 저장된 다른 컴퓨터 프로그램이 또한 제어기를 작동시키는데 사용될 수 있다.
기판상의 필름을 증착시키는 공정 또는 챔버(15)를 세정하는 공정은 제어기(34)에 의해서 실행되는 컴퓨터 프로그램 제품을 사용함으로써 실행될 수 있다. 컴퓨터 프로그램 코드는 어떠한 통상의 컴퓨터 판독 가능한 프로그래밍 언어, 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 그 밖의 언어로 작성될 수 있다. 적합한 프로그램 코드는 통상의 텍스트 편집기를 사용함으로써 싱글 파일, 또는 멀티플 파일에 입력되고, 컴퓨터 이용가능한 매체, 예컨대, 컴퓨터의 메모리 시스템에 저장 또는 내장된다. 입력된 코드 텍스트가 고급 언어로 된 경우, 코드가 컴파일링되고, 생성되는 컴파일러 코드가 미리 컴파일링된 Microsoft Windows® 라이브러리 루틴의 대상 코드와 링크된다. 링크되고 컴파일링된 대상 코드를 실행시키기 위해서, 시스템 사용자는 대상 코드를 불러내서 컴퓨터 시스템이 코드를 메모리에 로딩하게 한다. CPU는 이어서 코드를 판독하고 실행하여 프로그램에서 확인된 작업을 수행한다.
사용자와 제어기 사이의 인터페이스는 하나 이상의 챔버를 포함할 수 있는 기판 가공 시스템중의 시스템 모니터 및 CVD 시스템(10)의 단순 개략도인 도 5b에 도시된 바와 같은 CRT 모니터(50a) 및 라이트 펜(light pen: 50b)을 통해서 이루어진다. 바람직한 구체예에서, 두 모니터(50a)가 사용되는데, 하나는 작업자를 위해서 클린 룸 벽(clean room wall)에 장착되고 다른 하나는 서비스 기술자를 위해서 벽의 뒤에 장착된다. 모니터(50a)는 동일한 정보를 동시에 디스플레이하지만, 단지 하나의 라이트 펜(50b)이 사용된다. 라이트 펜(50b)의 팁에서의 광 센서는 CRT 디스플레이에 의해서 방출된 광을 검출한다. 특정의 스크린 또는 기능을 선택하기 위해서, 작업자는 디스플레이 스크린의 지정된 부위를 터치하고 펜(50b)상의 버튼을 누른다. 터치된 부위는 그의 하이라이트된 색을 변화시키거나, 새로운 매뉴 또는 스크린이 디스플레이되어, 라이트 펜과 디스플레이 스크린 사이의 통신을 확인한다. 그 밖의 디바이스, 예컨대, 키보드, 마우스, 또는 다른 포인팅 또는 통신 장치가 라이트 펜(50b) 대신 또는 그에 추가로 사용되어 사용자가 제어기와 통신할 수 있게 할 수 있다.
도 5a는 가스 분배 페이스플레이트(13a)와 가스 분배 매니폴드(11)를 포함하는 공정 챔버(15)의 리드 어셈블리(lid assembly: 15b)상에 장착된 원격 플라즈마 발생장치(60)를 도시하고 있다. 장착 어뎁터(adaptor: 64)는 도 5a에 도시된 바와 같이 리드 어셈블리(15b)상에 원격 플라즈마 발생장치(60)를 장착시킨다. 어뎁터(64)는 전형적으로는 금속으로 제조된다. 혼합 장치(70)가 가스 분배 매니폴드(11)의 상류 측에 결합되어 있다(도 5a). 혼합 장치(70)는 공정 가스를 혼합하는 혼합 블록의 슬롯 내부에 위치한 혼합 인서트(mixing insert: 72)를 포함한다. 세라믹 절연체(66)가 장착 어뎁터(64)와 혼합 장치(70) 사이에 위치한다(도 5a). 세라믹 절연체(66)는 세라믹 재료, 예컨대, Al2O3(99% 순도), 또는 테플론®(Teflon®) 등으로 제조될 수 있다. 설치되었을 때, 혼합 장치(70)와 세라믹 절연체(66)는 리드 어셈블리(15b)의 일부를 형성할 수 있다. 절연체(66)는 혼합 장치(70)와 가스 분배 매니폴드(11)로부터 금속 어뎁터(64)를 분리시켜서 이차 플라즈마의 잠재성을 최소로 하여 이하 상세히 논의되는 바와 같은 리드 어셈블리(15b)를 형성시킨다. 3-웨이 밸브(77)는 공정 챔버(15)로의 공정 가스의 직접적인 흐름을 제어하거나 원격 플라즈마 발생장치(60)를 통한 흐름을 제어한다.
원격 플라즈마 발생장치(60)는 바람직하게는 리드 어셈블리(15b)상에 통상적으로 장착되는 콤팩트한 자장 유닛(self-contained unit)이며 비용 및 시간 소모적인 변화 없이 기존의 챔버에 용이하게 개조된다. 한 가지 적합한 유닛은 미국 매사추세츠 우번 소재의 어플라이드 사이언스 앤드 테크놀로지, 인코포레이티드(Applied Science and Technology, Inc.)로부터 구매할 수 있는 ASTRON® 발생장치이다. ASTRON® 발생장치는 낮은-장 토로이달 플라즈마(low-field toroidal plasma)를 이용하여 공정 가스를 해리시킨다. 한 가지 예에서, 플라즈마는 불소-함유 가스, NF3 및 캐리어 가스, 예컨대, 아르곤을 포함한 공정 가스를 해리시켜서 공정 챔버(15) 중의 필름 증착물을 세정하는데 사용되는 유리 불소를 생성시킨다.
상기된 몇 가지 구체예를 보면, 당업자라면 본 발명의 사상을 벗어나지 않으면서 다양한 변화, 대안적인 구성 및 등가물이 이용될 수 있다는 것을 인지할 수 있을 것이다. 추가로, 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위해서, 많은 공지된 공정 및 엘리먼트는 기재되지 않는다. 따라서, 상기 설명은 본 발명을 한정하는 것으로 취급되지 않아야 한다.
일정 범위의 값이 제공되는 경우, 그 문맥이 달리 명시하지 않는 한, 하한 값의 단위의 10분지 1까지에 이르는, 그 범위의 상한과 하한 사이의 각각의 중간값이 또한 특별히 개시되는 것으로 이해될 것이다. 어떠한 언급된 값 또는 언급된 값중의 중간값과 그 언급된 범위 내의 어떠한 다른 언급된 값 또는 중간값 사이의 각각의 더 작은 범위가 포함된다. 이들 더 작은 범위의 상한 및 하한은 독립적으로 범위 내에 포함되거나 배제될 수 있으며, 그 상한과 하한 둘 중 하나 또는 둘 다가 더 작은 범위에 포함되거나 둘중 어느 것도 그 작은 범위에 포함되지 않는 각각의 범위가 또한, 언급된 범위 내의 어떠한 특정적으로 배제된 한계를 조건으로, 본 발명의 범위 내에 포함된다. 언급된 범위가 한계 중 하나 또는 둘 모두를 포함하는 경우, 이들 포함된 한계 중 둘 모두 또는 둘 중 하나를 배제하는 범위가 또한 포함된다.
본원 및 첨부된 청구범위에서 사용된 표현인 단수형은, 문장이 명확히 달리 명시하지 않는 한, 복수의 대상물을 포함한다. 따라서, 예를 들어 "방법"은 다수의 그러한 방법들을 포함하며, "전구체"는 본 기술 분야의 전문가에게는 공지된 하나 이상의 전구체 및 그 등가물 등을 포함한다.
또한, 본 명세서 및 청구범위에서 사용되는 용어 "포함한다", "포함한", "포함하는"는 언급된 특징물, 수치, 성분 또는 단계의 존재를 명시하지만, 이들은 하나 이상의 다른 특징물, 수치, 성분, 단계, 작용, 또는 그룹의 존재 또는 부가를 배제하는 것이 아니다.

Claims (32)

  1. 자외선을 이용하여 하드마스크 막을 패턴화시키는 방법으로서,
    증착 챔버 내에서 기판상에 하드마스크 층을 증착시키고,
    하드마스크 층의 일부를 자외선에 노출시키는데, 자외선에 노출되는 하드마스크 부분이 하드마스크 층상의 일정 패턴의 노출된 영역을 형성하게 하여, 하드마스크 층의 일부를 자외선에 노출시키고,
    하드마스크 층을 에칭시키는데, 에칭이 하드마스크 층의 비노출된 부분을 제거하게 하여, 하드마스크 층을 에칭시킴을 포함하는, 하드마스크 막을 패턴화시키는 방법.
  2. 제 1항에 있어서, 하드마스크 층을 어닐링시킴을 추가로 포함하는, 하드마스크 막을 패턴화시키는 방법.
  3. 제 1항에 있어서, 어닐링 공정이 스팀 어닐링, 열 어닐링, 유도 결합 플라즈마 어닐링(inductively coupled plasma annealing), 용량 결합 플라즈마 어닐링(capacitively-coupled plasma annealing), 자외선 어닐링, 전자-빔 어닐링, 산 증기 촉매 어닐링, 염기 증기 촉매 어닐링 및 마이크로파 어닐링으로 이루어진 군으로부터 선택되는, 하드마스크 막을 패턴화시키는 방법.
  4. 제 2항에 있어서, 하드마스크 층을 자외선에 노출시키기 전에 어닐링이 수행되는, 하드마스크 막을 패턴화시키는 방법.
  5. 제 2항에 있어서, 하드마스크의 에칭 후에 어닐링이 수행되는, 하드마스크 막을 패턴화시키는 방법.
  6. 제 2항에 있어서, 어닐링이 불활성 가스를 포함하는 대기 중에서 수행되는, 하드마스크 막을 패턴화시키는 방법.
  7. 제 2항에 있어서, 어닐링이 N2, Ar, O2, H2O, NH3, N2/H2, 및 N2O로 이루어진 군으로부터 선택된 가스를 포함하는 대기 중에서 수행되는, 하드마스크 막을 패턴화시키는 방법.
  8. 제 1항에 있어서, 에칭 후에 하드마스크 막에 대한 플라즈마 처리를 제공함을 추가로 포함하는, 하드마스크 막을 패턴화시키는 방법.
  9. 제 8항에 있어서, 플라즈마가 용량 결합 프라즈마 및 유도 결합 플라즈마로 이루어진 군으로부터 선택되는, 하드마스크 막을 패턴화시키는 방법.
  10. 제 8항에 있어서, 플라즈마 처리가 N2, Ar, O2, H2O, NH3, N2/H2, 및 N2O로 이루어진 군으로부터 선택된 가스를 포함하는 대기 중에서 수행되는, 하드마스크 막을 패턴화시키는 방법.
  11. 제 1항에 있어서, 자외선이 348nm 또는 그 미만의 파장을 지니는 빛을 포함하는, 하드마스크 막을 패턴화시키는 방법.
  12. 제 1항에 있어서, 에칭이 습식 에칭인, 하드마스크 막을 패턴화시키는 방법.
  13. 제 12항에 있어서, 습식 에칭이 HF, NH4OH, SCl 및 RCA로 이루어진 군으로부터 선택된 에칭제를 포함하는, 하드마스크 막을 패턴화시키는 방법.
  14. 제 1항에 있어서, 하드마스크 층이 실리콘 옥사이드를 포함하는, 하드마스크 막을 패턴화시키는 방법.
  15. 제 1항에 있어서, 하드마스크 층이 스핀 코팅, 화학적 기상 증착, 원자층 증착 및 물리적 기상 증착으로 이루어진 군으로부터 선택된 공정을 이용함으로써 증착되는, 하드마스크 막을 패턴화시키는 방법.
  16. 제 1항에 있어서, 기판이 실리콘 기판, III-V 화합물 기판, 실리콘/게르마늄 기판, 에피-기판, 실리콘-온-인슐레이터 기판(silicon-on-insulator substrate), 디스플레이 기판, 액정 디스플레이 기판, 플라즈마 디스플레이 기판, 전계 발광 램프(electro luminescence lamp) 기판, 및 발광 다이오드 기판으로 이루어진 군으로부터 선택된 기판을 포함하는, 하드마스크 막을 패턴화시키는 방법.
  17. 증착 챔버와 자외선 광원을 포함하는 하드마스크 증착 및 패턴화 시스템으로서,
    증착 챔버내의 기판상에 하드마스크 층을 증착시키기 위한 수단,
    자외선이 일정 패턴에 따라서 하드마스크 층의 일부에 노출되게 하여, 하드마스크 층의 일부를 자외선에 노출시키는 수단, 및
    하드마스크 층의 비노출된 부분을 제거하는 수단을 포함하는 하드마스크 증착 및 패턴화 시스템.
  18. 제 17항에 있어서, 하드마스크 층을 어닐링하기 위한 수단을 추가로 포함하는하드마스크 증착 및 패턴화 시스템.
  19. 제 18항에 있어서, 어닐링이 스팀 어닐링, 열 어닐링, 유도 결합 플라즈마 어닐링(inductively coupled plasma annealing), 자외선 어닐링, 전자-빔 어닐링, 산 증기 촉매 어닐링(acid vapor catalysis annealing), 염기 증기 촉매 어닐링 및 마이크로파 어닐링으로 이루어진 군으로부터 선택되는 하드마스크 증착 및 패턴화 시스템.
  20. 제 18항에 있어서, 하드마스크 층을 자외선에 노출시키기 전에 어닐링이 수행되는 하드마스크 증착 및 패턴화 시스템.
  21. 제 18항에 있어서, 하드마스크의 에칭 후에 어닐링이 수행되는 하드마스크 증착 및 패턴화 시스템.
  22. 제 18항에 있어서, 어닐링이 불활성 가스를 포함하는 대기 중에서 수행되는 하드마스크 증착 및 패턴화 시스템.
  23. 제 18항에 있어서, 어닐링이 N2, Ar, O2, H2O, NH3, N2/H2, 및 N2O로 이루어진 군으로부터 선택된 가스를 포함하는 대기 중에서 수행되는 하드마스크 증착 및 패턴화 시스템.
  24. 제 17항에 있어서, 에칭 후에 하드마스크 막에 대한 플라즈마 처리를 제공함을 추가로 포함하는 하드마스크 증착 및 패턴화 시스템.
  25. 제 24항에 있어서, 플라즈마가 용량 결합 프라즈마 및 유도 결합 플라즈마로 이루어진 군으로부터 선택되는 하드마스크 증착 및 패턴화 시스템.
  26. 제 24항에 있어서, 플라즈마 처리가 N2, Ar, O2, H2O, NH3, N2/H2, 및 N2O로 이루어진 군으로부터 선택된 가스를 포함하는 대기 중에서 수행되는 하드마스크 증착 및 패턴화 시스템.
  27. 제 17항에 있어서, 자외선이 348nm 미만의 파장을 지닌 빛을 포함하는 하드마스크 증착 및 패턴화 시스템.
  28. 제 9항에 있어서, 에칭이 습식 에칭을 포함하는 하드마스크 증착 및 패턴화 시스템.
  29. 제 28항에 있어서, 습식 에칭이 HF, NH4OH, SCl 및 RCA로 이루어진 군으로부터 선택된 에칭제를 포함하는 하드마스크 증착 및 패턴화 시스템.
  30. 제 17항에 있어서, 하드마스크 층이 실리콘 옥사이드를 포함하는 하드마스크 증착 및 패턴화 시스템.
  31. 제 17항에 있어서, 하드마스크 층이 스핀 코팅, 화학적 기상 증착, 원자층 증착 및 물리적 기상 증착으로 이루어진 군으로부터 선택된 공정을 이용함으로써 증착되는 하드마스크 증착 및 패턴화 시스템.
  32. 제 17항에 있어서, 기판이 실리콘 기판, III-V 화합물 기판, 실리콘/게르마늄 기판, 에피-기판, 실리콘-온-인슐레이터 기판(silicon-on-insulator substrate), 디스플레이 기판, 액정 디스플레이 기판, 플라즈마 디스플레이 기판, 전계 발광 램프(electro luminescence lamp) 기판, 및 발광 다이오드 기판으로 이루어진 군으로부터 선택된 기판을 포함하는 하드마스크 증착 및 패턴화 시스템.
KR1020107021066A 2008-02-20 2009-02-05 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스 KR101515082B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/034,000 US8153348B2 (en) 2008-02-20 2008-02-20 Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US12/034,000 2008-02-20
PCT/US2009/033250 WO2009105347A2 (en) 2008-02-20 2009-02-05 Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch

Publications (2)

Publication Number Publication Date
KR20100128302A true KR20100128302A (ko) 2010-12-07
KR101515082B1 KR101515082B1 (ko) 2015-04-24

Family

ID=40955443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021066A KR101515082B1 (ko) 2008-02-20 2009-02-05 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스

Country Status (6)

Country Link
US (1) US8153348B2 (ko)
JP (1) JP5559065B2 (ko)
KR (1) KR101515082B1 (ko)
CN (1) CN101965626A (ko)
TW (1) TWI406322B (ko)
WO (1) WO2009105347A2 (ko)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2012084602A (ja) * 2010-10-07 2012-04-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置システム
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2013077952A1 (en) * 2011-11-23 2013-05-30 Applied Materials, Inc. Apparatus and methods for silicon oxide cvd photoresist planarization
KR101920711B1 (ko) * 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN104253037A (zh) * 2013-06-30 2014-12-31 无锡华润上华半导体有限公司 一种改善刻蚀糊胶的方法
JP5943888B2 (ja) * 2013-08-28 2016-07-05 株式会社東芝 半導体装置の製造方法
JPWO2015041007A1 (ja) * 2013-09-20 2017-03-02 並木精密宝石株式会社 基板とその製造方法、及び発光素子とその製造方法、及びその基板又は発光素子を有する装置
US9934969B2 (en) 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
CN104821274B (zh) * 2014-01-31 2018-09-11 台湾积体电路制造股份有限公司 无需光刻胶的带电粒子束图案化
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
FR3021454B1 (fr) * 2014-05-20 2019-12-13 Centre National De La Recherche Scientifique (Cnrs) Procede de fabrication d'un materiau semi-conducteur incluant une couche de nitrure d'element iii semi-polaire
KR101776265B1 (ko) 2014-05-29 2017-09-19 제일모직 주식회사 하드마스크 층의 형성 방법, 반도체 소자의 제조방법, 및 이에 따른 반도체 소자
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10957563B2 (en) * 2015-12-30 2021-03-23 Mattson Technology, Inc. Chamber wall heating for a millisecond anneal system
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP7326077B2 (ja) 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
CN110783417B (zh) * 2019-11-08 2021-06-29 国家纳米科学中心 一种硅表面制作密度可调的锥状陷光结构的方法及制得的黑硅
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US11935746B2 (en) * 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08305028A (ja) * 1995-04-28 1996-11-22 Toshiba Corp レジスト
JPH08320568A (ja) * 1995-05-26 1996-12-03 Hitachi Ltd パターン形成方法及び感光膜形成方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JP3435318B2 (ja) * 1996-08-22 2003-08-11 株式会社東芝 パターン形成方法
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3410968B2 (ja) * 1998-06-22 2003-05-26 株式会社東芝 パターン形成方法および感光性組成物
US6511793B1 (en) * 1999-03-24 2003-01-28 Lg Electronics Inc. Method of manufacturing microstructure using photosensitive glass substrate
JP2000353649A (ja) * 1999-06-09 2000-12-19 Tokyo Electron Ltd 基板処理装置および基板処理方法
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
DE19958904C2 (de) * 1999-12-07 2002-01-24 Infineon Technologies Ag Verfahren zur Herstellung einer Hartmaske auf einem Substrat
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6448416B1 (en) * 2000-06-16 2002-09-10 Corning Incorporated Electron acceptors for polymeric thin film waveguide media
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7361599B2 (en) * 2002-09-03 2008-04-22 Texas Instruments Incorporated Integrated circuit and method
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
JP5205741B2 (ja) * 2006-11-14 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
JP5559065B2 (ja) 2014-07-23
JP2011520242A (ja) 2011-07-14
CN101965626A (zh) 2011-02-02
TWI406322B (zh) 2013-08-21
US8153348B2 (en) 2012-04-10
WO2009105347A2 (en) 2009-08-27
KR101515082B1 (ko) 2015-04-24
TW200945415A (en) 2009-11-01
WO2009105347A3 (en) 2009-11-12
US20090208880A1 (en) 2009-08-20

Similar Documents

Publication Publication Date Title
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
JP5530062B2 (ja) 薄膜プロセスの方法
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
KR100687367B1 (ko) 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
TWI442471B (zh) 形成至少一介電層之方法與系統
JP2015111668A (ja) 先進のパターニングのためのソフトランディング・ナノラミネート
KR101046506B1 (ko) 이머전 리소그라피에서 패턴 붕괴를 방지하기 위한 플라즈마 표면처리
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
CN103843110A (zh) 双重图案化蚀刻工艺
KR20060128843A (ko) 기판에 재료를 성막하는 방법
CN102077324A (zh) 使用薄阻障层防止及降低溶剂与溶液渗入多孔性电介质中
JP2013048127A (ja) アッシュ後の側壁の回復

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 5