JP2007511096A - トレンチエッチングのためのラインエッジ粗さ低減 - Google Patents

トレンチエッチングのためのラインエッジ粗さ低減 Download PDF

Info

Publication number
JP2007511096A
JP2007511096A JP2006539644A JP2006539644A JP2007511096A JP 2007511096 A JP2007511096 A JP 2007511096A JP 2006539644 A JP2006539644 A JP 2006539644A JP 2006539644 A JP2006539644 A JP 2006539644A JP 2007511096 A JP2007511096 A JP 2007511096A
Authority
JP
Japan
Prior art keywords
etching
trench
electrode
dielectric layer
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006539644A
Other languages
English (en)
Other versions
JP2007511096A5 (ja
JP4865564B2 (ja
Inventor
ワッガナー・エリック
チュ・ヘレン・エイチ.
レ・ダニエル
ラーベンハルト・ピーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007511096A publication Critical patent/JP2007511096A/ja
Publication of JP2007511096A5 publication Critical patent/JP2007511096A5/ja
Application granted granted Critical
Publication of JP4865564B2 publication Critical patent/JP4865564B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】基板上の誘電体レイヤにおいてトレンチ深さまでトレンチをエッチングする方法を提供する。
【解決手段】ARCが前記誘電体レイヤ上に設けられる。厚さを有するフォトレジストマスクが前記ARC上に形成される。前記ARCがエッチングされる。1:1および2:1の間であるフォトレジストに対する誘電体のエッチング選択性で、トレンチが前記誘電体レイヤ中へエッチングされる。
【選択図】図2

Description

本発明は、シングルおよびデュアルダマシン金属集積(Single and Dual Damascene metal integration)のためのトレンチエッチングのための改良されたラインエッジを提供する方法に関する。
本発明は、半導体デバイスの形成に関する。
半導体ウェーハ処理のあいだ、半導体デバイスのフィーチャは、よく知られたパターニングおよびエッチングプロセスを用いてウェーハ中で定義される。これらのプロセスにおいて、フォトレジスト(PR)材料がウェーハ上に堆積され、それからレチクルによってフィルタがかけられた光に曝される。このレチクルは、一般には、レチクルを通して伝搬する光を阻止する例示的なフィーチャ幾何学的形状でパターンが付けられたガラス板である。
レチクルを通った後、光はフォトレジスト材料の表面に接する。光はフォトレジスト材料の化学的組成を変化させ、現像剤がフォトレジスト材料の一部を除去できるようにする。ポジ型フォトレジスト材料の場合、露光された領域が除去され、ネガ型フォトレジスト材料の場合、露光されていない領域が除去される。その後、ウェーハがエッチングされ、フォトレジスト材料によってもはや保護されていない領域から、下にある材料が除去され、それによって所望のフィーチャがウェーハ中に定義される。
フォトレジストにはさまざまな世代が知られる。深紫外(DUV)フォトレジストは、248nmの光に曝される。理解を促すために、図1Aは、基板104上のレイヤ108の概略断面図であり、レイヤ108は、パターン付けされたフォトレジストレイヤ112を持ち、これはエッチングされるべきレイヤ108の上にあるARC(反射防止膜)110の上にあり、これらが積層体100を形成する。フォトレジストパターンは、微小寸法(CD)を有し、これは最も小さいフィーチャの幅116でありえる。現在、248nmのフォトレジストについては、このフォトレジストについての典型的なCDは、従来のプロセスを用いると230〜250nmでありえる。波長に依存する光学的特性のために、フォトレジストが長い波長によって露光されるほど、理論的最小微小寸法も長くなる。
図1Bに示されるように、フォトレジストパターンを通してそれからトレンチ120がエッチングされえる。より小さいCDを持つフィーチャを提供するために、より短い波長の光を用いて形成されたフィーチャが求められている。193nmフォトレジストは、193nmの光によって露光される。位相シフトレチクルおよび他の技術を用いて、193nmフォトレジストを用いると、90〜100nmのCDフォトレジストパターンが形成されえる。これは90〜100nmのCDを持つフィーチャを提供できる。
より短い波長のフォトレジストの使用は、より長い波長を用いたフォトレジストに比較して、さらなる問題をもたらしえる。理論上の限界に近いCDを得るためには、リソグラフィ装置はより正確でなければならず、これはより高価なリソグラフィ機器を要求する。現在、193nmフォトレジストは、より長い波長のフォトレジストほど高い選択性を有しないかもしれず、プラズマエッチング条件下ではより簡単に変形しえる。
シングルおよびデュアルダマシン構造の形成においては、接続ラインを形成するために、広いトレンチが誘電体を通して部分的にまたは完全にエッチングされる(M1エッチング(M1 etch))。より狭いバイアは、コンタクトを形成するために、誘電体レイヤを通してその後、完全にエッチングされる。
前述のことを達成するために、本発明の目的によれば、基板上の誘電体レイヤにおいてトレンチ深さまでトレンチをエッチングする方法が提供される。ARCが前記誘電体レイヤ上に設けられる。厚さを有するフォトレジストマスクが前記ARC上に形成される。前記ARCがエッチングされる。1:1および2:1の間であるフォトレジストに対する誘電体のエッチング選択性で、トレンチが前記誘電体レイヤ中へエッチングされる。
本発明の他の実施形態において、基板上の誘電体レイヤにおいてトレンチ深さまでトレンチをエッチングする方法が提供される。ARCが前記誘電体レイヤ上に設けられる。約2000Åおよび4000Åの間の厚さを有する感光フォトレジストマスクが前記ARC上に形成される。前記ARCがエッチングされる。クリーンエッチングでトレンチが前記誘電体レイヤ中へエッチングされる。
本発明の他の実施形態において、誘電体レイヤ中にフィーチャをエッチングする装置が提供される。プラズマプロセスチャンバが提供される。プラズマプロセスチャンバは、プラズマプロセスチャンバエンクロージャを形成するチャンバ壁、基板を前記プラズマプロセスチャンバエンクロージャ内で支持する基板支持部、前記プラズマプロセスチャンバエンクロージャ中の圧力を制御する圧力レギュレータ、前記基板支持部に対向し、前記基板支持部から距離が置かれた電極、前記電極を加熱する前記電極に接続されたヒーター、前記プラズマプロセスチャンバエンクロージャ内にガスを供給するガス吸気口、および前記プラズマプロセスチャンバエンクロージャからガスを排気するガス排気口を備える。ガス源は、前記ガス吸気口と流体連通する。コントローラは、前記ガス源、前記電極、前記ヒーター、前記圧力レギュレータ、前記ガス吸気口、および前記ガス排気口のうちの少なくとも1つに制御可能に接続される。
本発明のこれらおよび他の特徴は、本発明の詳細な説明において、添付の図を参照して以下により詳細に説明される。
本発明は、添付図面の図中で限定によってではなく例示によって示され、同様の番号は同様の要素を示す。
本発明は、添付の図面に示されるように、そのいくつかの好ましい実施形態を参照して詳細に説明される。以下の記載において、本発明の完全な理解を提供するために多くの具体的な詳細が述べられる。しかし当業者には、本発明はこれら具体的な詳細の一部または全てがなくても実施できることが明らかだろう。他の場合には、本発明の趣旨を不必要にぼかさないために、よく知られたプロセスステップおよび/または構成は詳細に記載されていない。
図2は、本発明による誘電体中にトレンチを形成するプロセスのフロー図である。ARC(反射防止膜)は、誘電体レイヤ上に形成される(ステップ204)。図3Aは、基板304上の誘電体レイヤ308の断面図である。ARC310は、示されるように誘電体レイヤ308上に設けられる。ARC310は、有機または無機ARCでありえる。この誘電体レイヤは、トレンチ誘電体レイヤであり、ここでデュアルダマシントレンチがエッチングされる。このような誘電体レイヤは、ハードマスクレイヤではない。
感光トレンチフォトレジストマスク(sensitive trench photoresist mask)312がARC310上に形成される(ステップ208)。感光トレンチフォトレジストマスクは、薄いマスクである。好ましい実施形態においては、この薄い感光トレンチフォトレジストマスクは、2000Åおよび4000Åの間にある。より好ましい実施形態においては、この薄い感光トレンチフォトレジストマスクは、2500Åおよび3000Åの間にある。感光フォトレジスト材料は、容易にエッチングされる材料である。薄い193nmフォトレジストおよび新しい世代のフォトレジストは、感光フォトレジスト材料と考えられる。このトレンチパターン314は、図示されるように幅316を有する。このフォトレジストは、図示されるように厚さ318を有する。感光トレンチフォトレジストマスクは、感光フォトレジスト材料の薄いマスクを提供することによって、何らかのさらなる保護なしに、トレンチが所望の深さにエッチングされる前に、トレンチエッチングがこの感光トレンチフォトレジストマスクをエッチングして除去するようにする。
ARC310が開かれる(ステップ212)。従来のARC開放ステップが用いられえる。図3Bは、ARC310が開かれた後の基板304上の誘電体レイヤ308の断面図である。
それからトレンチは、クリーンエッチングで誘電体レイヤまでエッチングされる(ステップ216)。クリーンエッチングでは、重いポリマー形成ガスは、任意のキャリアガスを含むエッチャントガスの5%未満を構成する。クリーンエッチングは、最も好ましくは、主要ガスとしてCF4またはC26を含むもののような低重合化プロセスである。他の好ましい実施形態は、主要構成ガスとしてNF3またはSF6を有しえるが、これはこれらのガスとのフッ素の高い解離速度のためである。あまり好ましくない実施形態は、炭素がより高いレベルのガスであり、これはおそらくはCFXのような分子に分解し、これは同様のものと結合し、より長いMER鎖(MER chains)を形成しえる。これらガスの例には、CH22、CH3F、C24のような高度に飽和した炭化水素分子のC48、C58、C46が含まれる。より好ましくは、クリーンエッチングにおいて、重いポリマー形成ガスは、エッチャントガスの2%未満を構成する。好ましくは、これらガスは、ポリマー形成ガス成分に対するフッ素の比を少なくとも3:1未満に維持する。本発明の好ましい実施形態において、トレンチエッチングは、低い選択性(selectivity)を有する。より好ましくは、フォトレジストに対する誘電体のトレンチエッチング選択性は、約1:1および2:1の間であり、ここでフォトレジストに対する誘電体のエッチング選択性が2:1であるということは、誘電体レイヤが、フォトレジストの2倍速くエッチングされることを意味する。図3Cは、トレンチ324がエッチングされた後の、誘電体レイヤ308の断面図である。好ましい実施形態において、トレンチは、約200および400nmの間の深さまでエッチングされる。
好ましい実施形態において、このフォトレジストは、アグレッシブなエッチング(aggressive etch)に敏感(sensitive)である。アグレッシブなエッチングに敏感なフォトレジストは、アグレッシブなエッチングによって、誘電体レイヤと同じように速くエッチングされるフォトレジストであり、その結果、低いエッチング選択性がある。
図5は、本発明の好ましい実施形態において用いられえるプロセスチャンバ500の概略図である。この実施形態において、プラズマ処理チャンバ500は、閉じ込めリング502、上部電極504、下部電極508、ガス源510、および排気ポンプ520を備える。プラズマ処理チャンバ500内には、基板ウェーハ304が下部電極508上に配置される。下部電極508は、基板ウェーハ304を保持する適切な基板チャッキングメカニズム(例えば静電、機械クランピングなど)を組み込む。リアクタトップ528は、下部電極508に直接に対向するよう配置される上部電極504を組み込む。上部電極504、下部電極508、および閉じ込めリング502は、閉じ込めプラズマ容積540を定義する。ガスがガス源510によってガス吸気口543を通して閉じ込めプラズマ容積に供給され、排気ポンプ520によって閉じ込めリング502および排気口を通して閉じ込めプラズマ容積から排気される。排気ポンプ520は、このプラズマ処理チャンバのガス出口を形成する。第1RF源544は、電気的に上部電極504に接続される。第2RF源548は、電気的に下部電極508に接続される。チャンバ壁552は、その中に閉じ込めリング502、上部電極504、および下部電極508が置かれるプラズマエンクロージャを定義する。ある実施形態において、RF源548は、27MHz電源および2MHz電源を備えうる一方、上部電極504は接地される。RF電源を電極群に接続する異なる組み合わせが可能である。ヒーター545は、上部電極に接続され、上部電極を加熱することができる。
コントローラ535は、第1RF源544、第2RF源548、排気ポンプ520、ヒーター545、およびガス源510に制御可能に接続される。シャワーヘッドがガス吸気口543に接続されえる。ガス吸気口543は、それぞれのガス源について単一の吸気口であってもよく、またはそれぞれのガス源について異なる吸気口であってもよく、またはそれぞれのガス源について複数の吸気口であってもよく、または他の可能な組み合わせであってもよい。
図6Aおよび6Bは、本発明の実施形態において用いられるコントローラ535を実現するのに適したコンピュータシステム800を示す。図6Aは、このコンピュータシステムの一つの可能な物理的形態を示す。もちろんコンピュータシステムは、集積回路、プリント基板、および小型携帯機器から、大型のスーパーコンピュータに至るまで多くの物理的形態をとりえる。コンピュータシステム800は、モニタ802、ディスプレイ804、筐体806、ディスクドライブ808、キーボード810、およびマウス812を含む。ディスク814は、データをコンピュータシステム800に転送し、かつデータをコンピュータシステム800から転送するために用いられるコンピュータ読み取り可能な媒体である。
図6Bは、コンピュータ800のブロック図の例である。システムバス820に接続されているのは、さまざまなサブシステムである。プロセッサ(群)822(中央処理装置、すなわちCPUとも呼ばれる)は、メモリ824を含む記憶装置に結合されている。メモリ824は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。この技術ではよく知られるようにROMは、データおよび命令を単一方向にCPUおよびRAMに転送するようにはたらき、RAMは、典型的にはデータおよび命令を双方向に転送するのに用いられる。メモリのこれら両方のタイプは、以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク826はまた、双方向でCPU822に結合され、追加のデータ記憶容量を提供し、また以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク826は、プログラム、データなどを記憶するのに用いられえて、典型的には一次記憶よりも低速な二次記憶媒体(ハードディスクのような)である。固定ディスク826内に保持された情報は、適切な場合においては、メモリ824の仮想メモリとして標準的なかたちで統合されえることが理解されよう。取り外し可能なディスク814は、以下に説明するコンピュータ読み出し可能な媒体のいかなる形態をも取りえる。
CPU822はまた、ディスプレイ804、キーボード810、マウス812およびスピーカ830のようなさまざまな入力/出力装置に結合される。一般に入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチパネルディスプレイ、トランスデューサカードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識機、生体情報読み取り機、または他のコンピュータのいずれでもよい。CPU822は追加で、ネットワークインタフェース840を用いて他のコンピュータまたは通信ネットワークに結合されてもよい。そのようなネットワークインタフェースによりCPUは、上述の方法ステップを実行する過程で、ネットワークから情報を受け取り、または情報をネットワークに出力してもよい。さらに本発明の方法の実施形態は、CPU822上だけで実行されてもよく、またはインターネットのようなネットワーク上で、処理の一部を担当する遠隔地にあるCPUと協働して実行されてもよい。
さらに本発明の実施形態は、コンピュータによって実現できるさまざまな操作を実行するコンピュータコードを格納した、コンピュータによって読み出し可能な媒体を持つコンピュータ記憶製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計され構築されたものでもよく、またはコンピュータソフトウェア技術の当業者に既知の利用可能なものであってもよい。コンピュータ読み出し可能な媒体の例としては、これらに限定はされないが、ハードディスク、フレキシブルディスク、および磁気テープのような磁気媒体、CD−ROMおよびDVDおよびホログラフィックデバイスのような光媒体、フロプティカルディスクのような光磁気媒体、特定アプリケーション向け集積回路(ASIC)、プログラム可能な論理デバイス(PLD)、およびROMおよびRAMデバイスのように、プログラムコードを記憶し実行するために特別に構成されたハードウェアデバイスが挙げられる。コンピュータコードの例としては、コンパイラによって生成される機械語、およびインタープリタを用いてコンピュータによって実行可能なより高いレベルのコードを含むファイルが挙げられる。コンピュータで読み取り可能な媒体は、搬送波中で実現される、プロセッサによって実行される一連の命令を表すコンピュータデータ信号によって搬送されるコンピュータコードでありえる。
図4Aは、誘電体レイヤ408にエッチングされたトレンチ404の概略上面図である。トレンチの壁412は、相当なラインエッジ粗さ(line edge roughness)を示す。図4Bは、誘電体レイヤ428にエッチングされたトレンチ424の概略上面図である。トレンチ424の壁432は、より少ないラインエッジ粗さを示す。ICMIカンファレンスにおいて2003年に刊行されたCalvin Gabrielによる論文においては、ラインエッジ粗さを計測する業界標準は、以下のように論じられる。
「2002 ITRS計測ロードマップ[4]は、どのようにLERを定量化すべきかについての一つの定義を与える。すなわち、テクノロジーノード(technology node)の4倍に等しい距離に沿って評価された局所的線幅バラツキ(3sトータル(3s total)、全ての周波数成分が含まれる、両エッジ)である。このような定義は、VeraSEMまたはNanoSEM上で容易にプログラムされる。なぜならこれらツールは、対象となるフィーチャにわたって32以上のラインスキャンを行うことによって微小寸法を計測し、それぞれのラインスキャンは、ユーザによって定義される計測ボックスに従う小さな増分だけ前のスキャンから離れているからである。これらスキャンのこの3シグマ標準偏差は、ITRS定義に従い、Sigma(B)としてソフトウェアによって報告される。」
「しかしITRS定義は、「4テクノロジーノード(four technology nodes)」の条件の下で問題がある。65nm技術については、これは260nm高のボックスを要求するだけである。スキャンされたラインのこのように小さい長さは、低周波数LERを見いださないかもしれず、テクノロジーが45nmから32nmへと発展するに従い状況は悪化する。」
したがって、ラインエッジ粗さは、一連の位置群においてトレンチの幅を計測し、ある間隔にわたって変動を平均化することによって定量化されえ、この間隔は、フィーチャの幅の少なくとも4倍である。Hitachi CD SEMは、ラインエッジ粗さを計測するために1μmのボックスにわたって32点を計測する。Applied Materials NanoSEMは、2μmの長方形にわたって60点を計測する。Calvinの論文は、小さいフィーチャについて2μmより上に行くことのメリットを示していないが、もし2μmボックスより下に行くならある程度の敏感性(sensitivity)が失われた。
ラインエッジ粗さを低減するアプローチは、重いポリマー形成ガス(heavy polymer forming gas)を与えることによって、4:1より高い選択性を有するフォトレジストエッチングに誘電体でエッチングを提供することである。そのような重いポリマー形成物(heavy polymer formers)は、フォトレジストの上部に重いポリマーを形成し、それによってフォトレジストを保護し、エッチング選択性を改善する。理論に束縛されることは望ましくないが、このような重いポリマーは離れにくく、フォトレジストマスク上にラインエッジ粗さを増すストレスを与えると考えられる。フォトレジスト内で起こる反応が荒れ(roughening)を増すとも考えられる。
ラインエッジ荒れ(Line edge roughening)は、ストレスに関連すると考えられるマウスバイティング(mouse biting)によっても引き起こされえ、このストレスは、プラズマから堆積されたポリマーによってPRレイヤの上部において誘起される。それで、PRを保護するポリマーは、マスクを変形させ、薄いPRについてより荒れた側壁を生じる機械的な力を誘起しえる。この現象は、厚いPRマスクの場合(>300nm)には典型的には見られない。193nmのPRでは、C46ガスはポリマーになり、これがCF4またはC48よりも重く堆積しえるが、堆積特性のために、ホール中のストライエーションおよびトレンチ中の粗さは、PRマスクの変化から生じえることがわかっている。また、側壁プロファイルの荒れは、垂直平面に従うマイクロボイドを生じえる。これらは、エッチング中のフォトレジストの完全性の崩壊によって引き起こされえる。
本発明は、重いポリマーを作る重いポリマーの形成物を減らすこと、またはなくすことによってラインエッジ粗さを低減する。その代わりに、よりクリーンな成分ガスが用いられる。炭素に対するフッ素の高い比を持つこのようなよりクリーンな成分ガスは、最も好ましくはArを持つCF4であるが、より一般には、C26のような高いF解離を持つガス、またはNF3またはSF6のような高いフッ素解離を有するガスも含みえる。Fの解離を助けるガスの追加は、LERにも利点を提供しえる。少量のO2(2〜15sccm)および/またはN2(20〜200sccm)のフローの追加は、反応ガスの炭素成分と結合することによって、より多くの自由フッ素の生成を助けることが知られている。従来のエッチングプロセスの下では、このような成分ガスは、選択性を増すための保護性ポリマーをフォトレジスト上に形成しないと考えられる。本発明は、これらのクリーンな成分ガスがある程度の保護性ポリマーをフォトレジスト上に形成し、それによってエッチング選択性を増すようなプラズマパラメータを提供し、ここでフォトレジストに対する誘電体のエッチングの選択性は2:1より上に増加されない。このような技術は、重いポリマー(heavy polymers)ほど粘着性(sticky)ではないポリマーを提供し、このような技術については、そのようなポリマーの堆積位置がより容易に制御されえる。これを行うのに役立つある技術は、上部電極の温度を20℃から少なくとも140℃に増すことである。よりクリーンな成分ガスからのポリマーは、上部電極上に堆積し、フォトレジスト上にはより少ない量しか堆積しないと考えられる。上部電極を少なくとも140℃まで加熱することによって、上部電極上への堆積が低減され、間接的にフォトレジスト表面上への堆積がより多く生じる。ヒーター545は、上部電極温度を少なくとも70℃まで上げ、制御するのに役立つ。好ましい実施形態においては、上部電極温度は、エッチング中に少なくとも70℃まで高くされる。より好ましい実施形態においては、上部電極温度は、少なくとも90℃まで高くされる。最も好ましい実施形態においては、上部電極温度は、少なくとも140℃まで高くされる。上部電極は、基板がマウントされておらず、基板に対向して配置された電極であり、非マウント電極(non-mounting electrode)である。もし基板が上部電極上にマウントされたなら、下部電極が、基板がマウントされておらず、基板に対向する電極なので、下部電極が加熱される。
加えて、高い周波数(27MHzのような)においてより高い電力を供給することは、より高い密度のプラズマを作り、クリーンエッチャント(clean etchants)を用いてさらに選択性を増すことがわかっている。好ましい実施形態において、高周波数電力源は、500Wおよび2000Wの間の電力を供給する。より好ましい実施形態においては、高周波数電力源は、500Wおよび1200Wの間の電力を供給する。最も好ましい実施形態においては、高周波数電力源は、500Wおよび1000Wの間の電力を供給する。
加えて、低周波数のRF(2MHzのような)へより少ない電力を供給することによって、より低いバイアス電力を有することは、選択性を増す衝突を低減する。好ましい実施形態において、バイアス電力源は、0Wおよび1000Wの間の電力を供給する。より好ましい実施形態において、バイアス電力源は、0Wおよび600Wの間の電力を供給する。
加えて、より低いチャンバ圧力を供給することは選択性を増す。例えば、80mTorrの圧力が役立つことがわかっている。したがって好ましい実施形態においては、60mTorrおよび400mTorrの間のチャンバ圧力が供給される。より好ましい実施形態においては、70mTorrおよび300mTorrの間のチャンバ圧力が供給される。最も好ましい実施形態においては、80mTorrおよび250mTorrの間のチャンバ圧力が供給される。
最後に、全体のLERを低減しつつ、全体のCDを維持する重合化領域(polymerization regime)を見つけるために、プロセスのさらなる変更によって、プロセスのある程度のファインチューニングが達成されえる。C48、CH3F、H2、CH22などのような重合化を増すことが知られるガス群の追加は、PRのエロージョンを遅めるために少量追加されえ、LERをわずかに減らすのに役立つ。例えば、非常にクリーンなAr/CF4/N2/O2プロセスに追加された5sccmのC48は、ある場合にはLERを約10%だけ低減した。CHF3、CH22、CH3Fまたは他のハイドロフルオロカーボンも役立ちえるが、必要とされるガスの量はガスの解離特性に依存する。
したがって、本発明のこの局面は、クリーンなガスが低い選択性で堆積しても、堆積される全体のポリマーを増す代わりに、ポリマーが堆積される場合にこれを制御し、クリーンなエッチャントガスからポリマーを供給する。
好ましくは、トレンチ深さは最小に維持されるが、その一方で充分な電気的相互接続を提供する。この理由の一つは、薄いトレンチ深さは、選択性が低いときに、薄いフォトレジストマスクを可能にするからである。薄いフォトレジストマスクは、より良い微小寸法を可能にする。好ましい実施形態において、フォトレジストマスクは、より高い世代のフォトレジスト材料と同じ微小寸法を提供するだけ充分に薄い。これは、新しくより高価なリソグラフィおよびステッパシステムなしで、改良された微小寸法を可能にする。加えて、全ての、またはほとんど全てのフォトレジストが除去され、それによってCDを最小化するために所望のトレンチ深さを提供するのに充分なだけのフォトレジストが用いられるようにすることが好ましい。
例えば、安定したフォトレジストマスクを形成するために、もしそれが4000Åの厚さに堆積されるなら、248nmフォトレジストが用いられえる。しかしそれほど厚いマスクは0.2nmまでにしか画像化されえない。画像化する解像度を0.2nmより下に増すために、248フォトレジストマスクはより薄くされ、例えば3200Åの厚さにされる。前述のように、トレンチをエッチングするのに用いられるべき薄いフォトレジストマスクを可能にするために選択性を増すための重いポリマー形成物の使用は、ラインエッジ粗さを増す。したがって、本発明は、よりクリーンなポリマー形成物を用いることによって、トレンチをエッチングするのに充分な点まで選択性を増し、低減されたラインエッジ粗さを実現し、なおかつ2:1より小さい選択性を有するようにする。低い選択性の化学物質の使用は、メインエッチング中にバリアが除去されないことを確実にするために、充分な選択性を提供するのには適さないので、より高い選択性を持つオーバーエッチ(overetch)がエッチングの最後の終末ステップのためには好ましい。

例1
本発明のある例において、2500Åのシリコン酸化物レイヤが、基板上に形成された300Åのシリコン窒化物エッチストップ上に形成される。2つの異なるシリコンオキシナイトライド(SION)レイヤがシリコン酸化物レイヤ上に形成され、ARCレイヤを形成する。この例では、一方のSiONレイヤは285Åであり、他方のSiONレイヤは300Åであった。210nmのフォトレジストの3200Åのパターン付けされたフォトレジストマスクがARCレイヤ上に形成された。このARCレイヤは、従来のARCエッチングを用いて開口が設けられた。
シリコン酸化物レイヤは、以下のレシピでエッチングされる。上部電極は140℃より上に加熱されることを許される。圧力は180mTorrに設定される。27MHzのRF源は800ワットを供給する。2MHzのRF源は0ワットを供給する。エッチャントガス化学物質は、70sccmのCF4、100sccmのAr、および100sccmのN2である。このエッチングは32秒間行われる。
オーバーエッチステップは、シリコン酸化物レイヤのエッチングを完了させるが、シリコン窒化物エッチストップについて、より大きな選択性を提供する。上部電極は少なくとも140℃に維持される。圧力は80mTorrに設定される。27MHzのRF源は600ワットを供給する。2MHzのRF源は600ワットを供給する。エッチャントガス化学物質は、7sccmのC48、3sccmのO2、300sccmのAr、および100sccmのN2である。このエッチングは14秒間行われる。オーバーエッチは、トレンチバリアおよびフォトレジストについて、シリコン酸化物をより選択性高くエッチングする。このエッチングおよびオーバーエッチからのトレンチの最終的な深さは約260nmおよび300nmの深さの間である。したがって、300nm未満の深さのトレンチをエッチングするためには320nmの厚さのフォトレジストレイヤが用いられる。このようなプロセスにおいては、フォトレジストの半分だけがこのエッチング中に除去される。
フォトレジストはアッシングステップを用いて除去される。この例でアッシングを行うために、圧力は400mTorrに設定される。27MHzのRF源は400ワットを供給する。2MHzのRF源は0ワットを供給する。エッチャントガス化学物質は、500sccmのO2である。このエッチングは40秒間行われる。
エッチングストップSiNレイヤにそれから開口が設けられる。圧力は250mTorrに設定される。27MHzのRF源は150ワットを供給する。2MHzのRF源は150ワットを供給する。エッチャントガス化学物質は、90sccmのCF4、30sccmのCHF3、200sccmのAr、および200sccmのN2である。この開口形成は14秒間行われる。
例2
第2例において、同じシリコン酸化物レイヤ、同じARCレイヤ、および同じパターン付けされたフォトレジストレイヤを持つ同じ基板が用いられる。ARCレイヤに開口を形成するために同じ開口プロセスが用いられる。
シリコン酸化物レイヤは、以下のレシピでエッチングされる。上部電極は140℃より上に加熱されることを許される。圧力は180mTorrに設定される。27MHzのRF源は800ワットを供給する。2MHzのRF源は0ワットを供給する。エッチャントガス化学物質は、5sccmのC48、70sccmのCF4、100sccmのAr、および100sccmのN2である。約260nmおよび300nmの深さの間のトレンチをエッチングするために、このエッチングは32秒間行われる。
オーバーエッチステップは、シリコン酸化物レイヤのエッチングを完了させるが、シリコン窒化物エッチストップについて、より大きな選択性を提供する。上部電極は少なくとも140℃に維持される。圧力は80mTorrに設定される。27MHzのRF源は600ワットを供給する。2MHzのRF源は600ワットを供給する。エッチャントガス化学物質は、7sccmのC48、3sccmのO2、300sccmのAr、および100sccmのN2である。このエッチングは18秒間行われる。
フォトレジストはアッシングステップを用いて除去される。この例でアッシングを行うために、圧力は400mTorrに設定される。27MHzのRF源は400ワットを供給する。2MHzのRF源は0ワットを供給する。エッチャントガス化学物質は、500sccmのO2である。このエッチングは40秒間行われる。
エッチングストップSiNレイヤにそれから開口が設けられる。圧力は250mTorrに設定される。27MHzのRF源は150ワットを供給する。2MHzのRF源は150ワットを供給する。エッチャントガス化学物質は、90sccmのCF4、30sccmのCHF3、200sccmのAr、および200sccmのN2である。この開口形成は14秒間行われる。
本発明は、トレンチCDおよびラインエッジ粗さを改善する。より好ましい実施形態は、それほど好ましくない実施形態よりもさらにラインエッジ粗さを低減すると考えられる。本発明のこれらの例は、6〜7nmのラインエッジ粗さを提供することができ、ここでラインエッジ粗さを決定するために1ミクロンレンジにわたって32個の計測が用いられ、ここでフォトレジストマスクは、8〜10nmの初期ラインエッジ粗さを有しえる。したがって、本発明は、オリジナルのフォトレジストマスクに比較して、実際にラインエッジ粗さを減少しえる。
本発明は、248nmまたは193nmフォトレジストを用いる90nmノードテクノロジーを用いて、0.11から0.14ミクロンの間のフィーチャ幅を提供することができる。
ヒーターは、上部電極を140℃にまで加熱しなくてもよい。代わりに、ヒーターは、上部電極を90℃のような中間温度に加熱してもよく、それからエッチングプロセスが、上部電極を140℃にまで加熱する追加の熱を加えてもよい。
本発明の他の実施形態において、ARCは、誘電体レイヤ上に形成されることによって、ARCが誘電体レイヤ上にあるか、またはARCおよび誘電体レイヤの間に1つ以上のレイヤが存在するかのいずれでもよい。ARCレイヤは、PRの底部上に焦点深度が来るのを助けるために、リソグラフィの完全性を助けるために提供される。エッチング中に異なる指定されたタスクを実行するためにはハードマスクが用いられる。ハードARC(SiON)は、ウェーハパターニングについて有機ARCと同じ役割を果たし、このSiONは、メタライゼーションのCu CMPステップのあいだに「ストップインジケータ(stop-indicator)」として用いられえる。キャッピングレイヤ(capping layer)は、拡散バリア(すなわち、FがFSG上でPR層間剥離を起こしえるので、F拡散バリアのような)として働くために、誘電体レイヤおよびARCの間に提供されえ、またはキャッピングレイヤは、TEOSまたはFSGキャップを持つ有機低k材料のような、CMPに対してより機械的に耐性があるようなより強いレイヤを提供しえる。もし誘電体が「ソフト」過ぎて、機械的研磨に耐えられないなら、このようなキャッピングレイヤが望ましく、よって、エッチングされるときに構造の完全性を維持するためには、ときには薄いものかもしれないが、より強いレイヤが必要である。
本発明は、いくつかの好ましい実施形態について説明されてきたが、本発明の範囲に含まれる変更、組み合わせ、および等価物が存在する。また本発明の方法および装置を実現する多くの代替手段が存在ことにも注意されたい。したがって添付の特許請求の範囲は、全てのそのような変更、組み合わせ、改変、およびさまざまな代替等価物を本発明の真の精神および範囲に含まれるものとして解釈されるべきであることが意図されている。
従来技術による誘電体レイヤ中にエッチングされたトレンチの断面図である。 従来技術による誘電体レイヤ中にエッチングされたトレンチの断面図である。 本発明の実施形態において用いられるプロセスのフロー図である。 本発明の実施形態によってエッチングされたトレンチの断面図である。 本発明の実施形態によってエッチングされたトレンチの断面図である。 本発明の実施形態によってエッチングされたトレンチの断面図である。 エッチングされたトレンチの上面の概略図である。 エッチングされたトレンチの上面の概略図である。 本発明の好ましい実施形態において用いられえるプロセスチャンバの概略図である。 コントローラを実現するのに適するコンピュータシステムの図である。 コントローラを実現するのに適するコンピュータシステムの図である。

Claims (19)

  1. 基板上の誘電体レイヤにおいてトレンチ深さまでトレンチをエッチングする方法であって、
    ARCを前記誘電体レイヤ上に設けること、
    厚さを有するフォトレジストマスクを前記ARC上に形成すること、
    前記ARCを通してエッチングすること、および
    1:1および2:1の間であるフォトレジストに対する誘電体のエッチング選択性で、トレンチを前記誘電体レイヤ中へエッチングすること
    を含む方法。
  2. 請求項1に記載の方法であって、前記フォトレジストマスクを前記形成することは、約2000Åおよび4000Åの間の厚さまでの前記フォトレジストマスクを形成する方法。
  3. 請求項1〜2のいずれかに記載の方法であって、前記フォトレジストマスクを前記形成することは、193nmまたはそれより新しい世代のフォトレジストの前記フォトレジストマスクを形成する方法。
  4. 請求項1〜3のいずれかに記載の方法であって、前記フォトレジストマスクは、ラインエッジ粗さの制御についてアグレッシブなエッチング化学物質に敏感である方法。
  5. 請求項1〜4のいずれかに記載の方法であって、
    対向電極を前記基板に対向して置いて、前記基板をエッチングチャンバ中に置くこと、および
    前記対向電極を加熱し、それにより前記トレンチを前記誘電体レイヤへとエッチングするあいだに、前記対向電極が少なくとも140℃の温度に達するようにすること
    をさらに含む方法。
  6. 請求項1〜5のいずれかに記載の方法であって、前記トレンチを前記エッチングするあいだ、前記チャンバ圧力は、約60mTorrおよび400mTorrの間に維持される方法。
  7. 請求項1〜6のいずれかに記載の方法であって、前記トレンチを前記エッチングするあいだ、高周波数電力源は、500Wおよび2000Wの間の電力を供給する方法。
  8. 請求項1〜7のいずれかに記載の方法であって、前記トレンチを前記エッチングするあいだ、バイアス電力源は、0Wおよび1000Wの間の電力を供給する方法。
  9. 請求項1〜8のいずれかに記載の方法であって、前記トレンチを前記エッチングすることは、CF4、C26、NF3、およびSF6のグループから選択されるエッチャントガスを供給することを含む方法。
  10. 請求項1〜9のいずれかに記載の方法であって、前記エッチャントガスは、5%より少ない、重いポリマーを形成するエッチャントガスを有する方法。
  11. 請求項1〜10のいずれかに記載の方法によって形成された半導体デバイス。
  12. 基板上の誘電体レイヤにおいてトレンチ深さまでトレンチをエッチングする方法であって、
    ARCを前記誘電体レイヤ上に設けること、
    約2000Åおよび4000Åの間の厚さを有する感光フォトレジストマスクを前記ARC上に形成すること、
    前記ARCを通してエッチングすること、および
    クリーンエッチングでトレンチを前記誘電体レイヤ中へエッチングすること
    を含む方法。
  13. 請求項12に記載の方法であって、前記フォトレジストに対する誘電体のエッチング選択性は1:1および2:1の間である方法。
  14. 誘電体レイヤ中にフィーチャをエッチングする装置であって、
    プラズマプロセスチャンバであって、
    プラズマプロセスチャンバエンクロージャを形成するチャンバ壁、
    基板を前記プラズマプロセスチャンバエンクロージャ内で支持する基板支持部、
    前記プラズマプロセスチャンバエンクロージャ中の圧力を制御する圧力レギュレータ、
    前記基板支持部に対向し、前記基板支持部から距離が置かれた電極、
    前記電極を加熱する前記電極に接続されたヒーター、
    前記プラズマプロセスチャンバエンクロージャ内にガスを供給するガス吸気口、および
    前記プラズマプロセスチャンバエンクロージャからガスを排気するガス排気口
    を備えるプラズマプロセスチャンバ、
    前記ガス吸気口と流体連通したガス源、
    前記ガス源、前記電極、前記ヒーター、前記圧力レギュレータ、前記ガス吸気口、および前記ガス排気口のうちの少なくとも1つに制御可能に接続されたコントローラ
    を備える装置。
  15. 請求項14に記載の装置であって、前記コントローラは、
    少なくとも1つのプロセッサ、および
    コンピュータで読み取り可能な媒体であって、
    誘電体レイヤ中にフィーチャをエッチングするエッチングプラズマを供給するコンピュータで読み取り可能なコード、および
    エッチング中に前記電極を加熱することによって、前記電極が少なくとも70℃の温度に到達するようにするコンピュータで読み取り可能なコード
    を備えるコンピュータで読み取り可能な媒体
    を備える装置。
  16. 請求項14〜15のいずれかに記載の装置であって、前記コンピュータで読み取り可能な媒体は、前記圧力を60mTorrおよび400mTorrの間に維持するコンピュータで読み取り可能なコードをさらに備える装置。
  17. 請求項14〜16のいずれかに記載の装置であって、誘電体レイヤ中にフィーチャをエッチングするエッチングプラズマを供給するコンピュータで読み取り可能なコードは、500Wおよび2000Wの間の高周波数電力を供給するコンピュータで読み取り可能なコードを備える装置。
  18. 請求項14〜17のいずれかに記載の装置であって、エッチング中に前記電極を加熱するコンピュータで読み取り可能なコードは、前記電極を加熱することによって、前記電極が少なくとも90℃の温度に到達するようにする装置。
  19. 請求項14〜17のいずれかに記載の装置であって、エッチング中に前記電極を加熱するコンピュータで読み取り可能なコードは、前記電極を加熱することによって、前記電極が少なくとも140℃の温度に到達するようにする装置。
JP2006539644A 2003-11-12 2004-11-03 誘電体レイヤにフィーチャをエッチングするための方法及び装置 Expired - Fee Related JP4865564B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/712,410 2003-11-12
US10/712,410 US6949460B2 (en) 2003-11-12 2003-11-12 Line edge roughness reduction for trench etch
PCT/US2004/036746 WO2005050700A2 (en) 2003-11-12 2004-11-03 Line edge roughness reduction for trench etch

Publications (3)

Publication Number Publication Date
JP2007511096A true JP2007511096A (ja) 2007-04-26
JP2007511096A5 JP2007511096A5 (ja) 2008-04-24
JP4865564B2 JP4865564B2 (ja) 2012-02-01

Family

ID=34552675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006539644A Expired - Fee Related JP4865564B2 (ja) 2003-11-12 2004-11-03 誘電体レイヤにフィーチャをエッチングするための方法及び装置

Country Status (8)

Country Link
US (2) US6949460B2 (ja)
EP (1) EP1683194A4 (ja)
JP (1) JP4865564B2 (ja)
KR (1) KR101134327B1 (ja)
CN (1) CN100477135C (ja)
IL (1) IL175527A0 (ja)
TW (1) TWI351054B (ja)
WO (1) WO2005050700A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011068039A1 (ja) * 2009-12-01 2011-06-09 セントラル硝子株式会社 エッチングガス

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358146B2 (en) * 2003-06-24 2008-04-15 Micron Technology, Inc. Method of forming a capacitor
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
JP2005314531A (ja) 2004-04-28 2005-11-10 Sony Corp ハイブリッドシリカポリマー、その製造方法およびプロトン伝導性材料
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US7622393B2 (en) * 2005-11-04 2009-11-24 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program
US7556992B2 (en) * 2006-07-31 2009-07-07 Freescale Semiconductor, Inc. Method for forming vertical structures in a semiconductor device
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US7998872B2 (en) * 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
JP5474097B2 (ja) * 2009-02-06 2014-04-16 エルジー・ケム・リミテッド タッチスクリーンおよびその製造方法
US8236700B2 (en) * 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
US20130078815A1 (en) * 2011-09-23 2013-03-28 Nanya Technology Corporation Method for forming semiconductor structure with reduced line edge roughness
CN103854995B (zh) * 2012-12-06 2016-10-19 中微半导体设备(上海)有限公司 一种改善侧壁条痕的刻蚀工艺及其装置
JP6239365B2 (ja) 2013-12-11 2017-11-29 東京エレクトロン株式会社 シリコン層をエッチングする方法
US10734228B2 (en) 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
US11473191B2 (en) * 2019-02-27 2022-10-18 Applied Materials, Inc. Method for creating a dielectric filled nanostructured silica substrate for flat optical devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05129244A (ja) * 1991-11-05 1993-05-25 Kokusai Electric Co Ltd プラズマエツチング方法及び装置
WO2002049089A1 (fr) * 2000-12-14 2002-06-20 Tokyo Electron Limited Methode de gravure d'un film isolant poreux, procede de double damasquinage, dispositif a semi-conducteur
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
JP2003195522A (ja) * 2001-12-26 2003-07-09 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2003282550A (ja) * 2001-11-12 2003-10-03 Hynix Semiconductor Inc 半導体素子の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658425A (en) 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US5914202A (en) * 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
JP4022954B2 (ja) * 1997-01-29 2007-12-19 ソニー株式会社 複合材料及びその製造方法、基体処理装置及びその作製方法、基体載置ステージ及びその作製方法、並びに基体処理方法
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6080680A (en) 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6027861A (en) * 1998-03-20 2000-02-22 Taiwan Semiconductor Manufacturing Company VLSIC patterning process
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
KR100476845B1 (ko) * 1999-04-06 2005-03-17 동경 엘렉트론 주식회사 전극, 적재대, 플라즈마 처리 장치 및 전극과 적재대의제조 방법
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6518174B2 (en) 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
US6867145B2 (en) 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US6828251B2 (en) * 2002-02-15 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6902648B2 (en) * 2003-01-09 2005-06-07 Oki Electric Industry Co., Ltd. Plasma etching device
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05129244A (ja) * 1991-11-05 1993-05-25 Kokusai Electric Co Ltd プラズマエツチング方法及び装置
WO2002049089A1 (fr) * 2000-12-14 2002-06-20 Tokyo Electron Limited Methode de gravure d'un film isolant poreux, procede de double damasquinage, dispositif a semi-conducteur
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
JP2003282550A (ja) * 2001-11-12 2003-10-03 Hynix Semiconductor Inc 半導体素子の製造方法
JP2003195522A (ja) * 2001-12-26 2003-07-09 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011068039A1 (ja) * 2009-12-01 2011-06-09 セントラル硝子株式会社 エッチングガス
JP2011119310A (ja) * 2009-12-01 2011-06-16 Central Glass Co Ltd エッチングガス
US9234133B2 (en) 2009-12-01 2016-01-12 Central Glass Company, Limited Etching gas

Also Published As

Publication number Publication date
WO2005050700A3 (en) 2005-12-01
KR20060123312A (ko) 2006-12-01
CN1902745A (zh) 2007-01-24
EP1683194A4 (en) 2008-06-25
US20050277289A1 (en) 2005-12-15
CN100477135C (zh) 2009-04-08
KR101134327B1 (ko) 2012-04-09
TWI351054B (en) 2011-10-21
EP1683194A2 (en) 2006-07-26
JP4865564B2 (ja) 2012-02-01
US6949460B2 (en) 2005-09-27
WO2005050700A2 (en) 2005-06-02
US20050101126A1 (en) 2005-05-12
IL175527A0 (en) 2006-09-05
TW200524002A (en) 2005-07-16

Similar Documents

Publication Publication Date Title
JP4865564B2 (ja) 誘電体レイヤにフィーチャをエッチングするための方法及び装置
JP5081917B2 (ja) フッ素除去プロセス
JP4886513B2 (ja) フィーチャ微小寸法の低減
KR101274308B1 (ko) 임계 치수 감소 및 거칠기 제어
US7560388B2 (en) Self-aligned pitch reduction
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
KR101611938B1 (ko) 에칭 피쳐의 cd를 제어하는 방법
KR101534883B1 (ko) 마스크 트리밍
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
KR101562408B1 (ko) Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
KR20080074203A (ko) 자기 정렬된 피치 감소
KR20090125076A (ko) 펄스화된 초고 애스펙트비 유전체 식각
KR101252878B1 (ko) 포토레지스트 마스크를 이용한 에칭
KR20100110358A (ko) 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
US7544521B1 (en) Negative bias critical dimension trim

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080303

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111025

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111110

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141118

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees