KR101274308B1 - 임계 치수 감소 및 거칠기 제어 - Google Patents

임계 치수 감소 및 거칠기 제어 Download PDF

Info

Publication number
KR101274308B1
KR101274308B1 KR1020077027299A KR20077027299A KR101274308B1 KR 101274308 B1 KR101274308 B1 KR 101274308B1 KR 1020077027299 A KR1020077027299 A KR 1020077027299A KR 20077027299 A KR20077027299 A KR 20077027299A KR 101274308 B1 KR101274308 B1 KR 101274308B1
Authority
KR
South Korea
Prior art keywords
layer
feature
photoresist
gas
control layer
Prior art date
Application number
KR1020077027299A
Other languages
English (en)
Other versions
KR20080040625A (ko
Inventor
이상현
최대한
김지수
피터 시리글리아노
지쑹 후앙
로버트 카라탄
에스 엠 레자 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080040625A publication Critical patent/KR20080040625A/ko
Application granted granted Critical
Publication of KR101274308B1 publication Critical patent/KR101274308B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

에칭층에 피쳐를 제공하는 방법이 제공된다. 포토레지스트층이 에칭층 상에 형성된다. 포토레지스트층은, 포토레지스트 측벽을 갖는 포토레지스트 피쳐를 형성하기 위해 패터닝된다. 포토레지스트 피쳐의 바닥 및 포토레지스트층 상에 제어층이 형성된다. 포토레지스트 피쳐의 임계 치수를 감소시키기 위해, 제어층 및 포토레지스트 피쳐의 측벽 상에 등각층이 증착된다. 제어층 돌파 화학물에 의해 제어층의 개구부가 개방된다. 제어층 돌파 화학물과는 상이한 에칭 화학물에 의해 피쳐가 에칭층으로 에칭되고, 제어층은 등각층보다 에칭 화학물에 의한 에칭에 대해 더 에칭 저항적이다.
에칭, 플라즈마, 임계 치수, 포토레지스트

Description

임계 치수 감소 및 거칠기 제어{CRITICAL DIMENSION REDUCTION AND ROUGHNESS CONTROL}
배경
본 발명은 반도체 디바이스의 형성에 관한 것이다.
반도체 웨이퍼 처리 동안, 반도체 디바이스의 피쳐 (feature) 는 주지의 패터닝 및 에칭 프로세스를 사용하여 웨이퍼에 규정된다. 이러한 프로세스에서는, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착되고, 그 후, 레티클에 의해 필터링된 광에 노출된다. 일반적으로, 레티클은, 광이 레티클을 통해 전파되는 것을 방지하는 예시적인 피쳐의 기하학적 구조로 패터닝된 유리판이다.
레티클을 통과한 후 광은 포토레지스트 재료의 표면에 접촉한다. 광은, 현상자가 포토레지스트 재료 부분을 제거할 수 있도록 포토레지스트 재료의 화학 조성을 변화시킨다. 포지티브 포토레지스트 재료의 경우, 노출된 영역이 제거되고, 네거티브 포토레지스트 재료의 경우, 노출되지 않은 영역이 제거된다. 그 후, 더 이상 포토레지스트 재료에 의해 보호되지 않는 영역으로부터 하부 재료를 제거하도록 웨이퍼가 에칭되어, 웨이퍼에 소망하는 피쳐를 규정한다.
포토레지스트의 다양한 생성은 공지되어 있다. DUV (deep ultra violet) 포토레지스트는 248 nm 광에 노출된다. 이해를 용이하게 하기 위해, 도 1a 는 기판 (104) 상의 층 (108) 의 개략적 단면도이고, 에칭될 층 (108) 상의 ARL (Anti-reflective layer; 110) 상에 패터닝된 포토레지스트층 (112) 이 스택 (100) 을 형성한다. 포토레지스트 패턴은 최소 피쳐의 폭 (116) 일 수도 있는 임계 치수 (CD) 를 갖는다. 현재, 248 nm 포토레지스트에 있어서는, 종래의 프로세스를 사용하면 포토레지스트에 대한 통상적인 CD 는 230 내지 250 nm 일 수도 있다. 파장에 의존하는 광학적 특성에 기인하여, 더 긴 파장의 광에 노출된 포토레지스트는 더 큰 이론적 최소 임계 치수를 갖는다.
그 후, 도 1b 에 도시된 바와 같이 포토레지스트 패턴을 통해 피쳐 (120) 가 에칭될 수도 있다. 이상적으로, 피쳐의 CD (피쳐의 폭) 는 포토레지스트 (112) 의 피쳐의 CD (116) 와 동일하다. 실제로, 피쳐의 CD (116) 는 페시팅 (faceting), 포토레지스트의 부식 또는 언더커팅에 기인하여 포토레지스트 (112) 의 CD 보다 클 수도 있다. 피쳐는 테이퍼 (taper) 될 수도 있어서, 피쳐의 CD 는 적어도 포토레지스트의 CD 만큼 크지만 피쳐 바닥 근처에서는 더 작은 폭을 갖도록 피쳐가 테이퍼된다. 이러한 테이퍼는 신뢰할 수 없는 피쳐를 제공할 수도 있다.
더 작은 CD 를 갖는 피쳐를 제공하기 위해, 더 짧은 파장의 광을 사용하여 형성되는 피쳐가 추구되고 있다. 193 nm 포토레지스트는 193 nm 광에 노출된다. 위상 시프트 레티클 및 다른 기술을 사용하면, 90 내지 100 nm CD 포토레지스트 패턴이 193 nm 포토레지스트를 사용하여 형성될 수도 있다. 이것은 90 내지 100 nm 의 CD 를 갖는 피쳐를 제공할 수 있다. 157 nm 포토레지스트는 157 nm 광에 노출된다. 위상 시프트 레티클 및 다른 기술을 사용하면, 서브 90 nm 포토레지스트 패턴이 형성될 수도 있다. 이것은 서브 90 nm CD 를 갖는 피쳐를 제공할 수 있다.
더 짧은 파장의 포토레지스트를 사용하는 것은 더 긴 파장의 포토레지스트를 사용하는 것에 비해 추가적인 문제점을 제공할 수도 있다. 이론적 한계에 근접한 CD 를 획득하기 위해, 리소그래피 장치는 더 정밀해야 하고, 이것은 더 고가의 리소그래피 설비를 요구할 것이다. 현재의 193 nm 포토레지스트 및 157 nm 포토레지스트는 더 긴 파장의 포토레지스트만큼 높은 선택도를 갖지 못할 수도 있고, 플라즈마 에칭 조건하에서 더 쉽게 변형될 수도 있다.
메모리 디바이스의 형성과 같은 도전층의 에칭시에, 성능을 감소시키지 않으면서 디바이스 밀도를 증가시키는 것이 바람직하다.
요약
전술한 점을 달성하기 위해, 그리고 본 발명의 목적에 따라 에칭층의 피쳐를 형성하는 방법이 제공된다. 포토레지스트층이 에칭층 상에 형성된다. 포토레지스트층은 포토레지스트 측벽을 갖는 포토레지스트 피쳐를 형성하도록 패터닝되며, 포토레지스트 피쳐는 제 1 임계 치수를 갖는다. 제어층이 포토레지스트 피쳐의 바닥 및 포토레지스트층 상에 형성된다. 포토레지스트 피쳐의 임계 치수를 감소시키기 위해 등각층 (conformal layer) 이 제어층 및 포토레지스트 피쳐의 측벽 상에 증착된다. 제어층의 개구부가 제어층 돌파 (breakthrough) 화학물로 개방된다. 제어층 돌파 화학물과는 상이한 에칭 화학물에 의해 피쳐가 에칭층으로 에칭되며, 에칭층 피쳐는 제 1 임계 치수보다 작은 제 2 임계 치수를 가지며, 제어층은 에칭 화학물에 의한 에칭에 대해 등각층보다 더 에칭 저항적이다.
본 발명의 또 다른 양태에서는, 에칭층에 피쳐를 형성하는 방법이 제공된다. 포토레지스트 피쳐를 갖는 패터닝된 포토레지스트 마스크 아래에 증착되는 에칭층을 갖는 웨이퍼가 에칭 챔버로 위치된다. 제어층이 포토레지스트 피쳐의 바닥 및 포토레지스트층 상에 형성된다. 포토레지스트 피쳐의 임계 치수를 감소시키기 위해, 제어층 및 포토레지스트 피쳐의 측벽 상에 등각층이 증착된다. 개구부가 제 1 에칭 화학물에 의해 제어층에 에칭된다. 제 1 에칭 화학물과는 상이한 제 2 에칭 화학물에 의해 피쳐가 에칭층에 에칭되며, 에칭층 피쳐는 제 1 임계 치수보다 작은 제 2 임계 치수를 가지며, 제어층은 제 2 에칭 화학물에 의한 에칭에 대해 등각층보다 더 에칭 저항적이다. 포토레지스트 마스크가 스트리핑된다. 웨이퍼가 에칭 챔버로부터 제거된다.
본 발명의 또 다른 양태에서는, 에칭층에 피쳐를 형성하는 장치가 제공되며, 에칭층은 기판에 의해 지지되고 제 1 CD 를 갖는 포토레지스트 피쳐의 포토레지스트 마스크에 의해 커버된다. 플라즈마 처리 챔버 인클로져를 형성하는 챔버벽, 플라즈마 처리 챔버 인클로져 내에 기판을 지지하는 기판 지지부, 플라즈마 처리 챔버 인클로져의 압력을 조정하는 압력 조정기, 플라즈마를 유지하기 위해 플라즈마 처리 챔버 인클로져에 전력을 제공하는 하나 이상의 전극, 플라즈마 처리 챔버 인클로져에 가스를 제공하는 가스 유입구, 및 플라즈마 처리 챔버 인클로져로부터 가스를 배출하는 가스 유출구를 포함하는 플라즈마 처리 챔버가 제공된다. 가스 소스는 가스 유입구와 유체 접속되어 있다. 가스 소스는 제어층 가스 소스, 증착 가스 소스, 프로파일 셰이핑 (shaping) 가스 소스 및 에천트 가스 소스를 포함한다. 제어기는 가스 소스 및 하나 이상의 전극과 제어가능하게 접속된다. 제어기는 하나 이상의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 제어층을 제공하는 컴퓨터 판독가능 코드, 포토레지스트 피쳐 내에 제 2 CD 를 갖는 피쳐를 형성하기 위해 제어층 및 포토레지스트 마스크 상에 측벽 증착을 형성하도록 2 이상의 증착 사이클을 제공하는 컴퓨터 판독가능 코드, 및 3 이상의 증착 사이클의 완료 후 에천트 가스 소스로부터 플라즈마 처리 챔버로 에천트 가스의 흐름을 제공하는 컴퓨터 판독가능 코드, 및 에천트 가스를 사용하여 층 내로 피쳐를 에칭하는 컴퓨터 판독가능 코드를 포함하며, 상기 층의 피쳐는 제 3 CD 를 갖는다. 제어층을 제공하는 컴퓨터 판독가능 코드는 제어층 가스 소스로부터 플라즈마 처리 챔버 인클로져로 제어층 가스를 제공하는 컴퓨터 판독가능 코드 및 제어층 가스를 플라즈마로 변환하는 컴퓨터 판독가능 코드를 포함한다. 2 이상의 증착 사이클을 제공하는 컴퓨터 판독가능 코드는, 증착 가스 소스로부터 플라즈마 처리 챔버 인클로져로 증착 가스의 흐름을 제공하는 컴퓨터 판독가능 코드, 증착 가스를 플라즈마로 변환하는 컴퓨터 판독가능 코드, 증착 가스 소스로부터 플라즈마 처리 챔버 인클로져로의 증착 가스의 흐름을 정지시키는 컴퓨터 판독가능 코드, 증착 가스의 흐름이 정지된 후 프로파일 셰이핑 가스 소스로부터 플라즈마 처리 챔버 인클로져로 프로파일 셰이핑 가스의 흐름을 제공하는 컴퓨터 판독가능 코드, 프로파일 셰이핑 가스를 플라즈마로 변환하는 컴퓨터 판독가능 코드, 및 프로파일 셰이핑 가스 소스로부터 플라즈마 처리 챔버 인클로져로의 프로파일 셰이핑 가스의 흐름을 정지시키는 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이러한 특성 및 다른 특성들을 이하 본 발명의 상세한 설명 및 도면을 참조하여 더 상세히 설명한다.
도면의 간단한 설명
본 발명은 첨부한 도면에서 한정이 아닌 예시의 방식으로 설명되며, 유사한 도면 부호는 유사한 엘리먼트를 나타낸다.
도 1a 및 도 1b 는 종래 기술에 따라 에칭된 스택의 개략 단면도이다.
도 2 는 본 발명의 일 실시형태에서 사용될 수도 있는 프로세스의 하이 레벨 흐름도이다.
도 3a 내지 도 3e 는 본 발명의 일 실시형태에 따라 처리된 스택의 개략 단면도이다.
도 4 는 CD 를 감소시키기 위해 포토레지스트 피쳐의 측벽 상에 층을 증착하는 단계의 더 상세한 흐름도이다.
도 5 는 본 발명을 실시하는데 사용될 수도 있는 플라즈마 처리 챔버의 개략도이다.
도 6a 및 도 6b 는 본 발명의 실시형태에서 사용되는 제어기를 구현하는데 적합한 컴퓨터 시스템을 도시한다.
바람직한 실시형태의 상세한 설명
이하, 본 발명을 첨부한 도면에 도시된 바와 같이 몇몇 바람직한 실시형태를 참조하여 상세히 설명한다. 다음의 설명에서, 다수의 특정 세부사항들이 본 발 명의 철저한 이해를 제공하기 위해 설명된다. 그러나, 이러한 특정 세부사항들의 전부 또는 일부가 없이 본 발명이 실시될 수도 있음은 당업자에게 자명할 것이다. 다른 예에서는, 본 발명을 불필요하게 모호하게 하지 않기 위해 주지의 프로세스 단계 및/또는 구조는 상세히 설명하지 않았다.
본 발명은 작은 임계 치수 (CD) 를 갖는 피쳐를 제공한다. 더 상세하게는, 본 발명은 피쳐를 에칭하는데 사용된 포토레지스트 패턴의 CD 보다 작은 CD 를 갖는 피쳐를 제공한다.
이해를 돕기 위해, 도 2 는 본 발명의 일 실시형태에 사용될 수도 있는 프로세스의 하이 레벨 흐름도이다. 패터닝된 포토레지스트 마스크가 제공된다 (단계 204). 도 3a 는 기판 (304) 상의 에칭될 층 (308) 의 개략 단면도이며, 에칭될 층 (308) 상의 ARL (310) 상에 피쳐 (314) 를 갖는 패터닝된 포토레지스트 마스크 (312) 가 스택을 형성한다. 포토레지스트 마스크는, 최소의 가능한 피쳐의 폭 (316) 의 가장 넓은 부분일 수도 있는 포토레지스트 피쳐 임계 치수 (CD) 를 갖는다. 현재, 248 nm 포토레지스트에 있어서, 종래의 프로세스를 사용하면 포토레지스트에 대한 통상적인 CD 는 230 내지 250 nm 이다.
그 후, 포토레지스트 피쳐의 바닥 및 포토레지스트 마스크 상에 제어층이 증착된다 (단계 206). 도 3b 는 포토레지스트 마스크 (312) 상에 증착된 제어층 (318) 을 갖는 패터닝된 포토레지스트 마스크 (312) 및 포토레지스트 피쳐 (314) 바닥에서 에칭층 (310) 의 노출부의 개략 단면도이다.
그 후, CD 를 감소시키기 위해 제어층 및 포토레지스트 피쳐의 측벽 상에 등 각층이 증착된다 (단계 208). 등각으로 증착된 층 (320) 은 포토레지스트 피쳐 (314) 내에서 증착층 피쳐 (322) 를 형성하며, 증착층 피쳐 (322) 는 포토레지스트 피쳐 (314) 의 CD (316) 보다 작은 감소된 CD (324) 를 갖는다. 바람직하게는, 증착층 피쳐 (322) 의 감소된 CD (324) 는 포토레지스트 피쳐의 CD (316) 보다 적어도 30 % 작다 (즉, 포토레지스트 피쳐의 CD (316) 의 70 % 이하이다). 더 바람직하게는, 증착층 피쳐 (322) 의 감소된 CD (324) 는 포토레지스트 피쳐의 CD (316) 보다 적어도 40 % 작다 (즉, 포토레지스트 피쳐의 CD (316) 의 60 % 이하이다). 가장 바람직하게는, 증착층 피쳐 (322) 의 감소된 CD (324) 는 포토레지스트 피쳐의 CD (316) 보다 적어도 50 % 작다 (즉, 포토레지스트 피쳐의 CD (316) 의 50 % 이하이다). 예를 들어, 증착층 피쳐는 포토레지스트 피쳐의 CD (316) 보다 99 % 작은 감소된 CD (316) 를 가질 수도 있다. 또한, 증착층 피쳐 (322) 는 도시된 바와 같이 매우 등각적인, 실질적으로 수직인 측벽 (328) 을 갖는 것이 바람직하다. 실질적으로 수직인 측벽의 예는, 바닥으로부터 상단까지가 피쳐의 바닥과 88°내지 90°사이의 각을 이루는 측벽이다. 등각 측벽은, 피쳐의 상단으로부터 바닥까지 실질적으로 동일한 두께를 갖는 증착층이다. 비-등각 측벽은, 실질적으로 수직이 아닌 측벽을 제공하는 브레드 로핑 (bread-loafing) 형성 또는 페시팅을 형성할 수도 있다. (페시팅 형성으로부터) 테이퍼링된 측벽 또는 브레드 로핑 측벽은 증착층 CD 를 증가시킬 수도 있고, 열악한 에칭 마스크를 제공할 수도 있다. 바람직하게는, 측벽 상의 증착은 포토레지스트 피쳐의 바닥 상의 증착보다 두껍다. 더 바람직하게는, 도 3b 에 도시된 바와 같이 포토레지 스트 피쳐의 바닥에서 제어층 상에 어떠한 층도 증착되지 않는다. 그러나, 포토레지스트 피쳐의 바닥에 증착층이 존재하는 경우, 중합체 돌파 에칭 단계에서, 포토레지스트 피쳐의 바닥에 증착된 이러한 중합체가 에칭될 것이다.
그 후, 제어층을 개방하기 위해 제어층 돌파 에칭이 제공된다 (단계 210). 바람직하게는, 제어층 돌파 에칭은 제어층 (318) 을 통해 수직하고, 증착층 (320) 을 측면으로는 상당히 에칭하지 않으면서 제어층 (318) 을 선택적으로 에칭한다. 돌파 에칭의 완료 후 층 (308) 및 ARC (310) 의 일부 오목부가 존재할 수도 있다. 도 3c 는 돌파 에칭이 완료된 후의 제어층 (318) 을 도시한다.
그 후, 주 에칭 프로세스에 의해 제어층 및 증착층 피쳐 (322) 를 통해 에칭될 층 (308) 으로 피쳐가 에칭된다 (단계 212). 바람직하게는, 주 에칭 프로세스가 제어층보다 에칭층을 더 선택적으로 에칭하고 제어층이 증착층 (320) 보다 더 에칭 저항적이 되도록, 주 에칭 프로세스는 제어층이 더 에칭 저항적인 에칭 프로세스이다. 도 3d 는 에칭될 층 (308) 으로 에칭되는 피쳐 (322) 를 도시한다. 이 예에서, 에칭될 층 (308) 에서 에칭되는 피쳐 (322) 는, 증착층 피쳐 (322) 의 CD (324) 와 동일한 CD (336) 를 가진다. 실제로, 피쳐 (332) 의 CD (336) 는 증착층 (320) 의 피쳐 (322) 의 CD (324) 보다 약간 더 클 수도 있다. 그러나, 증착층 피쳐 (322) 의 CD (324) 가 포토레지스트 (312) 의 CD (316) 보다 현저하게 더 작기 때문에, 에칭될 층 (308) 의 피쳐 (332) 의 CD (336) 는 포토레지스트 (312) 의 CD (316) 보다 여전히 더 작다. 바람직하게는, 에칭될 층 (308) 에서 에칭되는 피쳐 (332) 의 CD (336) 는 포토레지스트 피쳐의 CD (316) 보다 적 어도 30 % 더 작다. 더 바람직하게는, 에칭될 층 (308) 에서 에칭되는 피쳐 (332) 의 CD (336) 는 포토레지스트 피쳐의 CD (316) 보다 적어도 40 % 더 작다. 바람직하게는, 에칭될 층 (308) 에서 에칭되는 피쳐 (332) 의 CD (336) 는 포토레지스트 피쳐의 CD (316) 보다 적어도 50 % 더 작다.
그 후, ARC, 포토레지스트, 제어층 및 증착층이 스트리핑된다 (단계 216). 이것은 단일 단계로서 수행될 수도 있고, 또는 개별적인 증착층 제거 단계 및 포토레지스트 스트리핑 단계를 갖는 하나 이상의 단계로서 수행될 수도 있다. 스트리핑 프로세스를 위해 애싱 (ashing) 이 사용될 수도 있다. 도 3e 는 증착층, 제어층 및 포토레지스트 마스크가 제거된 후의 스택 (300) 을 도시한다. 추가적인 형성 단계가 수행될 수도 있다 (단계 220). 예를 들어, 그 후, 피쳐에 콘택트 (340) 가 형성될 수도 있다. 듀얼 다마신 구조를 제공하기 위해, 콘택트가 형성되기 전에 트렌치가 에칭될 수도 있다. 콘택트가 형성된 후에 추가적인 프로세스가 수행될 수도 있다.
제어층 (318) 이 증착층 (320) 보다 주 에칭에 대해 더 에칭 저항적이기 때문에, 제어층을 통한 애퍼쳐가, 라인 및 공간 패턴의 경우의 라인 에지 거칠기를 감소시키고 비어/홀 패턴의 경우의 스트리에이션 (striation) 을 감소시키는 것을 보조하는 에칭 마스크로서 동작한다.
도 4 는 CD 를 감소시키기 위해 포토레지스트 피쳐의 측벽 상에 층을 증착하는 단계 208 의 더 상세한 흐름도이다. 이 실시형태에서, CD 를 감소시키기 위해 포토레지스트 피쳐의 측벽 상에 층을 적층하는 단계 208 은 증착 단계 (404) 및 프로파일 셰이핑 단계 (408) 를 포함한다. 증착층의 CD (324) 가 포토레지스트의 CD 보다 미세하게만 작거나, 또는 증착층이 페시팅되거나 브레드 로핑되면, 에칭될 층의 CD 는 포토레지스트의 CD 보다 더 작지 않을 수도 있다. 또한, 페시팅되거나 브레드 로핑된 증착층은 그 층 내에 페시팅되거나 불규칙한 형상의 피쳐를 에칭되게 할 수도 있다. 또한, 포토레지스트 피쳐의 바닥 상의 증착을 최소화하는 것이 바람직하다. 복수의 사이클에 대해 도 4 에 도시된 2 가지 상의 증착은, 페시팅 및 브레드 로핑을 감소시키는 등각층을 제공하며, 포토레지스트 피쳐의 바닥 상의 증착을 방지하기 위해 사용될 수도 있다.
유전 에칭의 실시예
이 예에서는, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드 또는 로우-k (OSG, 다공성 로우-k) 유전 재료와 같은 유전 재료가 에칭층을 형성한다. 다른 실시형태에서는, 에칭층이 Si, 금속 및 폴리-Si 와 같은 도전체일 수도 있다. 도 5 는, 제어층을 증착하고, 등각층을 증착하고, 제어층을 돌파하고, 에칭층을 에칭하고, 스트리핑하는데 사용될 수도 있는 플라즈마 처리 챔버 (500) 의 개략도이다. 플라즈마 처리 챔버 (500) 는 제한링 (502), 상부 전극 (504), 하부 전극 (508), 가스 소스 (510), 및 배출 펌프 (520) 를 포함한다. 가스 소스 (510) 는 제 1 증착 가스 소스 (512), 프로파일 셰이핑 가스 소스 (516), 및 제어층 가스 소스 (519) 를 포함한다. 가스 소스 (510) 는 에칭 가스 소스 (518) 와 같은 추가적인 가스 소스를 포함할 수도 있다. 플라즈마 처리 챔버 (500) 내에서, 기판 (304) 이 하부 전극 (508) 상에 위치된다. 하부 전극 (508) 은 기판 (304) 을 유지하기 위해 적절한 기판 처킹 메커니즘 (예를 들어, 정전기적, 기계적 클램핑 등) 을 통합한다. 반응기 상단 (528) 은, 하부 전극 (508) 에 직접 대향하여 배치되는 상부 전극 (504) 을 통합한다. 상부 전극 (504), 하부 전극 (508), 및 제한링 (502) 이 제한된 플라즈마 볼륨을 규정한다. 가스가 가스 소스 (510) 에 의해 제한된 플라즈마 볼륨에 공급되고, 배출 펌프 (520) 에 의해 제한링 (502) 및 배출 포트를 통해 제한된 플라즈마 볼륨으로부터 배출된다. 제 1 RF 소스 (544) 가 상부 전극 (504) 에 전기적으로 접속된다. 제 2 RF 소스 (548) 가 하부 전극 (508) 에 전기적으로 접속된다. 챔버 벽 (552) 이 제한링 (502), 상부 전극 (504), 및 하부 전극 (508) 을 둘러싼다. 제 1 RF 소스 (544) 및 제 2 RF 소스 (548) 모두는 60 MHz 전원, 27 MHz 전원 및 2 MHz 전원을 포함할 수도 있다. RF 전력과 전극의 상이한 조합의 접속이 가능하다. 본 발명의 바람직한 실시형태에서 사용될 수도 있는, 캘리포니아 프리몬트의 램 리써치사TM 에 의해 제조되며, 챔버에 부착된 터보 펌프를 갖는 Exelan HP 와 기본적으로 동일한 Exelan HPTTM 의 경우에는, 60 MHz, 27 MHz 및 2 MHz 전원이 하부 전극에 접속된 제 2 RF 전원 (548) 을 구성하며, 상부 전극은 접지된다. 제어기 (535) 가 RF 소스 (544, 548), 배출 펌프 (520), 및 가스 소스 (510) 에 제어가능하게 접속된다. 에칭될 층 (308) 이 실리콘 옥사이드 또는 오르가노 실리케이트 유리와 같은 유전층인 경우, Exelan HPT 또는 2300 DFC 시스템이 이용될 것이다.
도 6a 및 도 6b 는 본 발명의 실시형태에서 사용되는 제어기 (535) 를 구현 하는데 적합한 컴퓨터 시스템 (1300) 을 도시한다. 도 6a 는 하나의 가능한 컴퓨터 시스템의 물리적 형태를 도시한다. 물론, 컴퓨터 시스템은, 집적 회로, 인쇄 회로 기판 및 소형 핸드헬드 디바이스로부터 대형 수퍼 컴퓨터까지 다수의 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (1300) 은 모니터 (1302), 디스플레이 (1304), 하우징 (1306), 디스크 드라이브 (1308), 키보드 (1310) 및 마우스 (1312) 를 포함한다. 디스크 (1314) 는 컴퓨터 시스템 (1300) 과 데이터를 교환하는데 사용되는 컴퓨터 판독가능 매체이다.
도 6b 는 컴퓨터 시스템 (1300) 에 대한 블록도의 일예이다. 광범위한 서브시스템이 시스템 버스 (1320) 에 부착된다. 프로세서(들) (1322; 중앙 처리 장치, 즉 CPU 라고도 함) 이 메모리 (1324) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (1324) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 를 포함한다. 주지된 바와 같이, ROM 은 데이터 및 명령을 CPU 로 일방향으로 전달하도록 동작하고, RAM 은 통상적으로 데이터 및 명령을 양방향 방식으로 전달하는데 사용된다. 이러한 타입의 메모리 모두는 후술할 임의의 적절한 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한, 고정식 디스크 (1326) 가 CPU (1322) 에 양방향으로 커플링되어; 추가적인 데이터 저장 용량을 제공하고, 또한 후술할 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정식 디스크 (1326) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있고, 통상적으로 주 저장부보다 느린 보조 저장 매체 (하드 디스크 등) 이다. 고정식 디스크 (1326) 에 보유되는 정보는 적절한 경우에 메모리 (1324) 의 가상 메모리와 같은 표준적인 방식으로 통합될 수도 있다. 착탈식 디스크 (1314) 는 후술할 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
또한, CPU (1322) 가 디스플레이 (1304), 키보드 (1310), 마우스 (1312) 및 스피커 (1330) 와 같은 다양한 입/출력 디바이스에 커플링된다. 일반적으로, 입/출력 디바이스는 임의의 비디오 디스플레이, 트랙볼, 마우스, 키보드, 마이크로폰, 터치 디스플레이, 트랜스듀셔 카드 판독기, 자기 테이프 또는 페이퍼 테이프 판독기, 타블렛, 스타일러스, 음성 또는 필적 인식기, 생체 판독기 또는 다른 컴퓨터일 수도 있다. 선택적으로, CPU (1322) 는 네트워크 인터페이스 (1340) 를 사용하여 또 다른 컴퓨터 또는 통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스에 있어서는, CPU 가 전술한 방법의 단계를 수행하여 네트워크로부터 정보를 수신하거나 네트워크에 정보를 출력할 수도 있는 것으로 고려된다. 또한, 본 발명의 방법 실시형태는 CPU (1322) 상에서 단독으로 실행될 수도 있고, 인터넷과 같은 네트워크를 통해 프로세싱의 일부를 공유하는 원격의 CPU 와 연관되어 수행될 수도 있다.
또한, 본 발명의 실시형태는, 다양한 컴퓨터 구현 동작을 수행하는 컴퓨터 코드를 포함하는 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품과 더 관련된다. 그 매체 및 컴퓨터 코드는 본 발명을 위해 특별히 설계되고 구성될 수도 있으며, 또는 컴퓨터 소프트웨어 분야의 당업자에게 주지되고 사용가능한 종류일 수도 있다. 컴퓨터 판독가능 매체의 예로는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래피 디바이스와 같은 광학 매체; 플롭티 컬 디스크와 같은 광자기 매체; 및 주문형 집적 회로 (ASIC), 프로그래머블 로직 디바이스 (PLD), 및 ROM 및 RAM 디바이스와 같은, 프로그램 코드를 저장하고 실행하기 위해 특별히 구성되는 하드웨어 디바이스가 포함되지만 이에 한정되는 것은 아니다. 컴퓨터 코드의 예로는, 컴파일러에 의해 생성되는 머신 코드, 및 해석기를 사용하여 컴퓨터에 의해 실행되는 더 높은 레벨의 코드를 포함하는 파일이 포함된다. 또한, 컴퓨터 판독가능 매체는, 프로세서에 의해 실행가능한 명령의 시퀀스를 나타내며, 반송파에서 구체화되는 컴퓨터 데이터 신호에 의해 송신되는 컴퓨터 코드일 수도 있다.
제어층 (206) 을 제공하는 예에서는, 100 sccm (분당 표준 입방 센티미터) 의 C2H4 화학물이, 터보 펌프의 Vat 밸브를 55 로 설정함으로써 확립되는 44 mTorr 의 압력으로 제공된다. 60 MHz 의 RF 소스가 80 와트의 전력을 제공한다. 프로세스가 40 내지 50 초 동안 유지되어 제어층을 제공한다 (단계 206). 이러한 프로세스는 제어층 (318) 을 형성한다.
다음으로, 제어층 및 포토레지스트 피쳐의 측벽 상에 등각층이 증착된다 (단계 208).
증착 단계 (404) 의 일예는, 60 sccm 의 Ar, 75 sccm 의 CF4 및 100 sccm 의 H2 의 화학물을, 터보 펌프의 Vat 밸브를 1000 으로 설정함으로써 확립되는 70 mTorr 의 압력에서 사용하는 CF4 증착일 수도 있다. 27 MHz 의 RF 소스는 800 와트의 전력을 제공하고, 2 MHz 의 RF 소스는 400 와트의 전력을 제공한다. 이 단계는 약 12 초 동안 유지된다.
프로파일 셰이핑 단계 (408) 의 일예는, 87 sccm 의 CF4 의 화학물을, 터보 펌프의 Vat 밸브를 1000 으로 설정함으로써 확립되는 25 mTorr 의 압력에서 사용할 수도 있다. 27 MHz 의 RF 소스는 800 와트의 전력을 제공하고, 2 MHz 의 RF 소스는 0 와트의 전력을 제공한다. 이 단계는 약 3 초 동안 유지된다.
증착 단계 (404) 및 그 후의 셰이핑 단계 (408) 를 사용하는 순환 프로세스는 8 사이클 동안 수행된다.
증착 단계 (404) 및 프로파일 셰이핑 단계 (408) 의 시간 비율을 제어하는 능력은 또 다른 제어 변수를 제공한다. 적절한 비율은 도 3b 에 도시된 바와 같은 실질적으로 수직이고 등각인 측벽을 제공할 것이다. 또한, 이러한 증착층은 에칭 선택도를 증가시키기 위해 포토레지스트 마스크를 보호할 수 있다. 증착 프로파일을 제어하는데 사용될 수 있는 본 발명에 의해 제공되는 다른 제어 파라미터는, 사이클의 횟수, 총 증착 시간, 증착/프로파일 셰이핑 시간 비율, (CF4/H2 와 같은) 가스 화학물 비율이다. CF4/H2 대신에 C4F6/O2 또는 CH3F/N2, CF4 대신에 CH3F/O2 또는 CH3F/N2 등과 같은 다른 가스 화학물이 사용될 수도 있다.
포토레지스트를 변화시키지 않으면서 더 작은 임계 치수를 갖는 피쳐를 형성하는 능력은, 새로운 리소그래피 설비를 구매하지 않고도 더 작은 피쳐를 허용한다. 더 새로운 세대 (generation) 의 포토레지스트가 사용되면, 본 발명은 더 새로운 세대의 포토레지스트에 대해 작은 CD 를 제공한다.
또 다른 실시형태에서는, 3 이상의 상이한 증착 단계를 제공하는 3 이상의 상이한 가스 화학물이 사용될 수도 있다.
제어층을 개방하기 위해 제어층 돌파 에칭이 제공된다 (단계 212). 제어층 돌파 에칭의 일예는 87 sccm 의 CF4 화학물을 120 mTorr 의 압력에서 사용할 수 있다. 27 MHz 의 RF 소스가 800 와트의 전력을 제공하고, 2 MHz 의 RF 소스가 0 와트의 전력을 제공한다. 이것은 약 55 내지 65 초 동안 유지된다.
그 후, 증착층 및 제어층을 통해 에칭층 내로 피쳐를 에칭하는 주 에칭이 제공된다 (단계 212). 이 예에서는, 500 sccm 의 Ar, 400 sccm 의 N2, 60 sccm 의 CF4, 및 70 sccm 의 H2 의 에칭 화학물이 70 mTorr 의 압력으로 제공된다. 27 MHz 의 RF 소스가 160 와트의 전력을 제공하고, 2 MHz 의 RF 소스가 100 와트의 전력을 제공한다. 이것은 약 8 초 동안 유지된다.
주 에칭이 완료된 후, 포토레지스트, 증착층 및 제어층이 스트리핑될 수도 있다 (단계 216). 200 sccm 의 O2 의 스트리핑 화학물이 10 mTorr 로 제공된다. 27 MHz 의 RF 소스가 300 와트의 전력을 제공하고, 2 MHz 의 RF 소스가 100 와트의 전력을 제공한다. 이것은 약 50 초 동안 유지된다.
라인 에지 거칠기 및 와인 글라스 형성을 감소시키기 위해 보호층 (제어층) 의 추가가 발견되었다.
또 다른 실시형태는 마스크에 대해 하드마스크를 사용할 수도 있다. 이러한 실시형태에서는, 포토레지스트 마스크가 하드마스크를 개방하기 위해 사용될 수도 있다. 증착층은 간격을 감소시키기 위해 하드마스크 상에 위치될 수도 있다. 다른 방법으로, 하드마스크를 에칭하기 전에 포토레지스트 상에 증착층이 위치될 수도 있다.
바람직한 실시형태에서, 제어층은, 증착층보다 낮은 농도의 불소를 갖는 층이다. 더 바람직하게는, 제어층은, 더 높은 농도의 불소를 갖는 중합체층인 증착층보다 더 낮은 농도의 불소를 갖는 중합체층이다. 가장 바람직하게는, 제어층은 불소가 없는 중합체층이다. 불소가 없는 중합체를 무정형 카본층 또는 하이드로카본 중합체라고 할 수도 있으며, 증착층은 하이드로플루오로카본 중합체일 것이다. 불소가 없는 중합체를 증착하기 위해, C2H4, CH4 또는 CO 와 같은 임의의 불소 성분이 없는 증착 가스가 사용될 수도 있다. 제어층이 일부 불소를 포함하지만 증착층보다는 적게 포함하는 경우, 증착층을 형성하기 위해 CH3F 가 사용될 수도 있다.
제어층이 없으면, 전체 포토레지스트 피쳐에 의해 형성된 영역이 적어도 미세하게 에칭되는 소위 '와인 글라스 형성' 을 유발한다는 것이 발견되었다. 라인 에지 거칠기를 감소시키는 것에 부가하여, 제어층이 와인 글라스 형성을 감소시키거나 제거하는 것이 발견되었다.
또 다른 실시형태에서는, 에칭층이 Si, 금속, 및 폴리-Si 와 같은 도전체일 수도 있다. 따라서, 본 발명의 프로세스는, 옥사이드, 나이트라이드, 카바이드, 로우-k 등의 유전체, 또는 Si, 금속, 및 폴리-Si 와 같은 도전체 재료일 수도 있는 다양한 종류의 막을 에칭하는데 사용될 수도 있다. 본 발명의 에칭은 비어 (홀) 또는 트렌치 (라인 및 공간) 를 형성하는데 사용될 수도 있다.
본 발명을 다양한 바람직한 실시형태의 관점에서 설명하였으나, 본 발명의 범주 내에 속하는 변형예, 치환예 및 다양한 대안적인 균등물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 다양한 대안적 방식이 존재함을 유의해야 한다. 따라서, 다음의 첨부한 청구항은, 본 발명의 진정한 사상 및 범주에 속하는 이러한 변형예, 치환예 및 다양한 대안적인 균등물을 포함하는 것으로 해석되도록 의도되었다.

Claims (18)

  1. 에칭층에 피쳐를 형성하는 방법으로서,
    상기 에칭층 상에 포토레지스트층을 형성하는 단계;
    포토레지스트 측벽을 갖는 포토레지스트 피쳐를 형성하기 위해 상기 포토레지스트층을 패터닝하는 단계로서, 상기 포토레지스트 피쳐는 제 1 임계 치수를 갖는, 상기 패터닝하는 단계;
    상기 포토레지스트 피쳐의 바닥 및 상기 포토레지스트층 상에 제어층을 형성하는 단계;
    상기 포토레지스트 피쳐의 임계 치수를 감소시키기 위해 상기 제어층 및 상기 포토레지스트 피쳐의 측벽 상에 등각층을 증착하는 단계;
    제어층 돌파 (break through) 화학물을 사용하여 상기 제어층에 개구부를 돌파시키는 단계; 및
    상기 제어층 돌파 화학물과는 상이한 에칭 화학물을 사용하여 상기 에칭층 내로 피쳐를 에칭하는 단계를 포함하며,
    상기 에칭층의 피쳐는 상기 제 1 임계 치수보다 작은 제 2 임계 치수를 갖고, 상기 제어층은 상기 에칭 화학물에 의한 에칭에 대해 상기 등각층보다 더 에칭 저항적인, 피쳐 형성 방법.
  2. 제 1 항에 있어서,
    상기 제어층 및 상기 포토레지스트 피쳐의 측벽 상에 등각층을 증착하는 단계는,
    증착 플라즈마를 형성하기 위한 증착 가스 화학물에 의한 증착 단계; 및
    프로파일 셰이핑 플라즈마를 형성하기 위한 제 2 가스 화학물에 의한 프로파일 셰이핑 단계를 포함하며,
    상기 증착 가스 화학물은 상기 제 2 가스 화학물과는 상이한, 피쳐 형성 방법.
  3. 제 1 항에 있어서,
    상기 포토레지스트층 상에 상기 제어층을 형성하는 단계는,
    불소가 없는 제어층 증착 가스를 제공하는 단계; 및
    상기 불소가 없는 제어층 증착 가스를 플라즈마로 형성하는 단계를 포함하는, 피쳐 형성 방법.
  4. 제 1 항에 있어서,
    상기 포토레지스트층 상에 상기 제어층을 형성하는 단계는,
    라인 에지 거칠기 감소 및 스트리에이션 감소 중 하나 이상을 제공하는 단계; 및
    와인 글라스 형성을 방지하는 단계를 포함하는, 피쳐 형성 방법.
  5. 제 1 항에 있어서,
    상기 측벽 상에 상기 등각층을 증착하는 단계는, 하이드로플로오로카본 및 하이드로카본 재료 중 하나 이상의 수직인 측벽을 형성하는, 피쳐 형성 방법.
  6. 제 1 항에 있어서,
    상기 제어층은 하이드로카본 재료인, 피쳐 형성 방법.
  7. 제 1 항에 있어서,
    상기 포토레지스트의 마스크, 상기 제어층, 및 상기 증착된 등각층을 단일 스트리핑 공정으로 스트리핑하는 단계를 더 포함하는, 피쳐 형성 방법.
  8. 제 1 항에 있어서,
    상기 제어층을 형성하는 단계, 상기 등각층을 증착하는 단계, 상기 제어층에 개구부를 돌파시키는 단계 및 에칭층 내로 피쳐를 에칭하는 단계는 단일 에칭 챔버에서 수행되는, 피쳐 형성 방법.
  9. 제 2 항에 있어서,
    상기 증착 가스 화학물에 의한 증착 단계 및 상기 프로파일 셰이핑 단계는 교번되는 방식으로 1 회 이상 반복되는, 피쳐 형성 방법.
  10. 제 1 항에 있어서,
    상기 등각층의 두께는, 상기 제 1 임계 치수보다 적어도 30 % 작은 임계 치수를 갖는, 등각인 증착층 피쳐를 생성하는, 피쳐 형성 방법.
  11. 제 1 항에 있어서,
    상기 제 2 임계 치수는 상기 제 1 임계 치수보다 적어도 30 % 작은, 피쳐 형성 방법.
  12. 제 1 항 내지 제 11 항에 중 어느 한 항에 기재된 피쳐 형성 방법에 의해 형성되는, 반도체 디바이스.
  13. 에칭층에 피쳐를 형성하는 방법으로서,
    포토레지스트 피쳐를 갖는 패터닝된 포토레지스트 마스크 아래에 증착되는 에칭층을 갖는 웨이퍼를 에칭 챔버 내에 위치시키는 단계;
    상기 포토레지스트 피쳐의 바닥 및 포토레지스트층 상에 제어층을 형성하는 단계;
    상기 포토레지스트 피쳐의 임계 치수를 감소시키기 위해 상기 제어층 및 상기 포토레지스트 피쳐의 측벽 상에 등각층을 증착하는 단계;
    제 1 에칭 화학물에 의해 상기 제어층에 개구부를 에칭하는 단계;
    상기 제 1 에칭 화학물과는 상이한 제 2 에칭 화학물에 의해 상기 에칭층 내로 피쳐를 에칭하는 단계로서, 상기 에칭층의 피쳐는 제 1 임계 치수보다 작은 제 2 임계 치수를 갖고, 상기 제어층은 상기 제 2 에칭 화학물에 의한 에칭에 대해 상기 등각층보다 더 에칭 저항적인, 상기 피쳐의 에칭 단계;
    상기 포토레지스트 마스크를 스트리핑하는 단계; 및
    상기 에칭 챔버로부터 상기 웨이퍼를 제거하는 단계를 포함하는, 피쳐 형성 방법.
  14. 제 13 항에 있어서,
    상기 제어층 및 상기 포토레지스트 피쳐의 측벽 상에 상기 등각층을 증착하는 단계는,
    증착 플라즈마를 형성하는 증착 가스 화학물에 의한 증착 단계; 및
    프로파일 셰이핑 플라즈마를 형성하기 위한 제 2 가스 화학물에 의한 프로파일 셰이핑 단계를 포함하는 프로세스를 2 이상의 사이클 동안 수행하는 단계를 포함하며,
    상기 증착 가스 화학물은 상기 제 2 가스 화학물과는 상이한, 피쳐 형성 방법.
  15. 제 13 항에 있어서,
    상기 포토레지스트층 상에 상기 제어층을 형성하는 단계는,
    불소가 없는 제어층 증착 가스를 제공하는 단계; 및
    상기 불소가 없는 제어층 증착 가스를 플라즈마로 형성하는 단계를 포함하는, 피쳐 형성 방법.
  16. 제 13 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 등각층의 두께는, 상기 제 1 임계 치수보다 적어도 30 % 작은 임계 치수를 갖는 등각 증착층의 피쳐를 생성하는, 피쳐 형성 방법.
  17. 제 13 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 제 2 임계 치수는 상기 제 1 임계 치수보다 적어도 30 % 작은, 피쳐 형성 방법.
  18. 에칭층에 피쳐를 형성하는 장치로서,
    상기 에칭층은 기판에 의해 지지되고, 제 1 임계 치수 (critical dimension; CD) 를 갖는 포토레지스트 피쳐를 갖는 포토레지스트 마스크에 의해 커버되며,
    플라즈마 처리 챔버 인클로져를 형성하는 챔버벽; 상기 플라즈마 처리 챔버 인클로져 내에서 기판을 지지하는 기판 지지부; 상기 플라즈마 처리 챔버 인클로져의 압력을 조정하는 압력 조정기; 플라즈마를 유지하기 위해 상기 플라즈마 처리 챔버 인클로져에 전력을 제공하는 하나 이상의 전극; 상기 플라즈마 처리 챔버 인클로져 내로 가스를 제공하는 가스 유입구; 및 상기 플라즈마 처리 챔버 인클로져로부터 가스를 배출시키는 가스 유출구를 포함하는, 플라즈마 처리 챔버,
    제어층 가스 소스; 증착 가스 소스; 프로파일 셰이핑 가스 소스; 및 에천트 가스 소스를 포함하는, 상기 가스 유입구와 유체 접속되는 가스 소스, 그리고
    하나 이상의 프로세서; 및 컴퓨터 판독가능 매체를 포함하는, 상기 가스 소스 및 상기 하나 이상의 전극에 제어가능하게 접속되는 제어기를 구비하며,
    상기 컴퓨터 판독가능 매체는,
    상기 제어층 가스 소스로부터 상기 플라즈마 처리 챔버 인클로져로 제어층 가스를 제공하기 위한 컴퓨터 판독가능 코드; 및 상기 제어층 가스를 플라즈마로 변환하기 위한 컴퓨터 판독가능 코드를 포함하는, 제어층을 제공하기 위한 컴퓨터 판독가능 코드;
    상기 증착 가스 소스로부터 상기 플라즈마 처리 챔버 인클로져로 증착 가스의 흐름을 제공하기 위한 컴퓨터 판독가능 코드; 상기 증착 가스를 플라즈마로 변환하기 위한 컴퓨터 판독가능 코드; 상기 증착 가스 소스로부터 상기 플라즈마 처리 챔버 인클로져로의 상기 증착 가스의 흐름을 정지시키기 위한 컴퓨터 판독가능 코드; 상기 증착 가스의 흐름이 정지된 후, 상기 프로파일 셰이핑 가스 소스로부터 상기 플라즈마 처리 챔버 인클로져로 프로파일 셰이핑 가스의 흐름을 제공하기 위한 컴퓨터 판독가능 코드; 상기 프로파일 셰이핑 가스를 플라즈마로 변환하기 위한 컴퓨터 판독가능 코드; 및 상기 프로파일 셰이핑 가스 소스로부터 상기 플라즈마 처리 챔버 인클로져로의 상기 프로파일 셰이핑 가스의 흐름을 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 포토레지스트 피쳐 내에서 제 2 CD 를 갖는 피쳐를 형성하기 위해 상기 제어층 및 포토레지스트 마스크 상에 측벽 증착을 형성하도록 2 이상의 증착 사이클을 제공하기 위한 컴퓨터 판독가능 코드;
    상기 2 이상의 증착 사이클의 완료 후에, 상기 에천트 가스 소스로부터 상기 플라즈마 처리 챔버로의 에천트 가스의 흐름을 제공하기 위한 컴퓨터 판독가능 코드; 및
    상기 에천트 가스를 사용하여, 제 3 CD 를 갖는 피쳐를 상기 층에 에칭하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 형성 장치.
KR1020077027299A 2005-05-31 2006-05-10 임계 치수 감소 및 거칠기 제어 KR101274308B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/142,509 2005-05-31
US11/142,509 US7695632B2 (en) 2005-05-31 2005-05-31 Critical dimension reduction and roughness control
PCT/US2006/018142 WO2006130319A2 (en) 2005-05-31 2006-05-10 Critical dimension reduction and roughness control

Publications (2)

Publication Number Publication Date
KR20080040625A KR20080040625A (ko) 2008-05-08
KR101274308B1 true KR101274308B1 (ko) 2013-06-13

Family

ID=37461941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077027299A KR101274308B1 (ko) 2005-05-31 2006-05-10 임계 치수 감소 및 거칠기 제어

Country Status (7)

Country Link
US (3) US7695632B2 (ko)
JP (1) JP5165560B2 (ko)
KR (1) KR101274308B1 (ko)
CN (1) CN101595551B (ko)
MY (2) MY158793A (ko)
TW (1) TWI381427B (ko)
WO (1) WO2006130319A2 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7264743B2 (en) 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100909629B1 (ko) * 2007-10-31 2009-07-27 주식회사 하이닉스반도체 포토마스크의 형성방법
WO2009085598A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Photoresist double patterning
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8124537B2 (en) * 2008-02-12 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching integrated circuit structure
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
WO2011162770A1 (en) 2010-06-25 2011-12-29 Tousimis Anastasios J Integrated processing and critical point drying systems for semiconductor and mems devices
US20120138567A1 (en) * 2010-12-01 2012-06-07 Toshiki Hirano Nanoimprint lithography method for making a patterned magnetic recording disk using imprint resist with enlarged feature size
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8450212B2 (en) 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US9111746B2 (en) * 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
JP6355374B2 (ja) * 2013-03-22 2018-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
GB201322931D0 (en) 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
CN106445216B (zh) * 2015-08-12 2019-03-19 蓝思科技(长沙)有限公司 一种提高过渡性保护区域尺寸精度的方法
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
KR20230051285A (ko) * 2020-08-18 2023-04-17 어플라이드 머티어리얼스, 인코포레이티드 프리-에칭 보호 층을 증착하는 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05283378A (ja) * 1992-03-30 1993-10-29 Nec Yamaguchi Ltd 半導体装置の製造方法
JPH0637072A (ja) * 1992-07-15 1994-02-10 Kawasaki Steel Corp テーパエッチング方法
JPH06177089A (ja) * 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
JP2003158072A (ja) 2001-09-28 2003-05-30 Macronix Internatl Co Ltd フォトレジストのパターン間の寸法を小さくする方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5259909A (en) * 1990-12-21 1993-11-09 Sgs-Thomson Microelectronics S.A. System and method for the checking of a reactive plasma machine
US5364742A (en) * 1992-09-21 1994-11-15 International Business Machines Corporation Micro-miniature structures and method of fabrication thereof
JP3311044B2 (ja) * 1992-10-27 2002-08-05 株式会社東芝 半導体装置の製造方法
KR0168194B1 (ko) * 1995-12-14 1999-02-01 김광호 반도체 소자의 소자분리막 형성방법
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6106659A (en) * 1997-07-14 2000-08-22 The University Of Tennessee Research Corporation Treater systems and methods for generating moderate-to-high-pressure plasma discharges for treating materials and related treated materials
US6921794B2 (en) * 1997-08-12 2005-07-26 Exxonmobil Chemical Patents Inc. Blends made from propylene ethylene polymers
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US5989979A (en) 1998-12-10 1999-11-23 Chartered Semiconductor Manufacturing Ltd. Method for controlling the silicon nitride profile during patterning using a novel plasma etch process
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
TW444341B (en) * 2000-02-16 2001-07-01 United Microelectronics Corp Manufacturing method of ultra-small opening
US6486024B1 (en) * 2000-05-24 2002-11-26 Infineon Technologies Ag Integrated circuit trench device with a dielectric collar stack, and method of forming thereof
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
CN100451831C (zh) * 2001-10-29 2009-01-14 旺宏电子股份有限公司 减小图案间隙或开口尺寸的方法
KR100402392B1 (ko) * 2001-11-06 2003-10-17 삼성전자주식회사 트렌치 소자분리 구조를 갖는 반도체 소자 및 그 제조방법
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6703312B2 (en) * 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
CN1294639C (zh) * 2002-12-05 2007-01-10 台湾积体电路制造股份有限公司 浅槽与深槽隔离结构的制造方法
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US20040192058A1 (en) 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP3976703B2 (ja) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7045455B2 (en) * 2003-10-23 2006-05-16 Chartered Semiconductor Manufacturing Ltd. Via electromigration improvement by changing the via bottom geometric profile
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7083898B1 (en) * 2005-07-07 2006-08-01 International Business Machines Corporation Method for performing chemical shrink process over BARC (bottom anti-reflective coating)
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US20080230906A1 (en) * 2007-03-22 2008-09-25 Keith Kwong Hon Wong Contact structure having dielectric spacer and method
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05283378A (ja) * 1992-03-30 1993-10-29 Nec Yamaguchi Ltd 半導体装置の製造方法
JPH0637072A (ja) * 1992-07-15 1994-02-10 Kawasaki Steel Corp テーパエッチング方法
JPH06177089A (ja) * 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
JP2003158072A (ja) 2001-09-28 2003-05-30 Macronix Internatl Co Ltd フォトレジストのパターン間の寸法を小さくする方法

Also Published As

Publication number Publication date
WO2006130319A2 (en) 2006-12-07
TWI381427B (zh) 2013-01-01
US20120309201A1 (en) 2012-12-06
JP2009500811A (ja) 2009-01-08
MY158793A (en) 2016-11-15
TW200709277A (en) 2007-03-01
CN101595551A (zh) 2009-12-02
US20060266478A1 (en) 2006-11-30
US8268118B2 (en) 2012-09-18
US7695632B2 (en) 2010-04-13
MY144155A (en) 2011-08-15
WO2006130319A3 (en) 2009-04-16
US20100148317A1 (en) 2010-06-17
CN101595551B (zh) 2013-10-16
JP5165560B2 (ja) 2013-03-21
KR20080040625A (ko) 2008-05-08
US8614149B2 (en) 2013-12-24

Similar Documents

Publication Publication Date Title
KR101274308B1 (ko) 임계 치수 감소 및 거칠기 제어
KR101083622B1 (ko) 피쳐 임계 치수의 감소
KR101711669B1 (ko) 측벽 형성 공정
KR101184956B1 (ko) 다수의 마스킹 단계를 이용하여 임계 치수를 감소시키는 방법
JP5081917B2 (ja) フッ素除去プロセス
KR101534883B1 (ko) 마스크 트리밍
KR101353239B1 (ko) 피치 감소
US7491647B2 (en) Etch with striation control
US7241683B2 (en) Stabilized photoresist structure for etching process
KR20070092282A (ko) 에칭 마스크 피쳐 임계 치수의 감축
KR101516455B1 (ko) Arl 에칭을 이용한 마스크 트리밍
KR20080074203A (ko) 자기 정렬된 피치 감소
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness
WO2009085597A2 (en) Cd bias loading control with arc layer open
JP2008507137A (ja) 低誘電体のエッチング

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160526

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170526

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180525

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190529

Year of fee payment: 7