JP2009500811A - クリティカルディメンション低減およびラフネス抑制 - Google Patents

クリティカルディメンション低減およびラフネス抑制 Download PDF

Info

Publication number
JP2009500811A
JP2009500811A JP2008514669A JP2008514669A JP2009500811A JP 2009500811 A JP2009500811 A JP 2009500811A JP 2008514669 A JP2008514669 A JP 2008514669A JP 2008514669 A JP2008514669 A JP 2008514669A JP 2009500811 A JP2009500811 A JP 2009500811A
Authority
JP
Japan
Prior art keywords
layer
photoresist
shape
gas
control layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008514669A
Other languages
English (en)
Other versions
JP5165560B2 (ja
Inventor
リー・サンゲオン
チョイ・ダエ−ハン
キム・ジスー
シリグリアーノ・ピーター
ホアン・ジソング
チャラタン・ロバート
サジャディ・エス.エム.・レザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009500811A publication Critical patent/JP2009500811A/ja
Application granted granted Critical
Publication of JP5165560B2 publication Critical patent/JP5165560B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【解決手段】エッチング層に形状を形成するための方法が提供されている。フォトレジスト層が、エッチング層の上に形成される。フォトレジスト層は、フォトレジスト側壁を有するフォトレジスト形状を形成するようにパターン化される。制御層が、フォトレジスト層とフォトレジスト形状の底部とを覆うように形成される。フォトレジスト形状のクリティカルディメンションを低減するために、共形層が、フォトレジスト形状の側壁と制御層とを覆うように蒸着される。制御層貫通剤を用いて、制御層に開口部が設けられる。制御層貫通剤とは異なるエッチング剤を用いて、エッチング層に形状がエッチングされる。制御層は、共形層よりもエッチング剤によるエッチングに対するエッチング耐性が高い。
【選択図】図2

Description

本発明は、半導体素子の形成に関する。
半導体ウエハの処理では、周知のパターン化処理およびエッチング処理を用いて、ウエハ内に半導体素子の形状が設けられる。これらの処理では、フォトレジスト(PR)材料が、ウエハに蒸着された後に、レチクルによってフィルタリングされた光に露出される。レチクルとは、一般に、光がレチクルを通して伝わることを防ぐ幾何形状の型を有するようパターン化されたガラス板である。
レチクルを通過した後、光は、フォトレジスト材料の表面に当たる。光は、現像剤がフォトレジスト材料の一部を除去できるように、フォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合には、露光された領域が除去され、ネガ型フォトレジスト材料の場合には、露光されていない領域が除去される。その後、ウエハをエッチングして、もはやフォトレジスト材料によって保護されていない領域から下層の材料を除去することにより、ウエハ内の所望の形状を規定する。
様々な世代のフォトレジストが知られている。深紫外線(DUV)フォトレジストは、248nmの光で露光される。理解を助けるために、図1Aに、積層体100の断面図を示す。積層体100は、基板104と、基板104の上のエッチング層108と、層108の上のARL(反射防止層)110と、ARL110の上のパターン化フォトレジスト層112とを備える。フォトレジストパターンは、クリティカルディメンション(CD)を有し、クリティカルディメンションは、最小の形状の幅116であってよい。現在、248nmフォトレジストについては、従来の処理を用いると、通例、230ないし250nmのCDが可能である。波長によって決まる光学特性のために、波長の長い光で露光されたフォトレジストは、理論的な最小クリティカルディメンションが大きくなる。
次いで、図1Bに示すように、形状120が、フォトレジストパターンを通してエッチングされてよい。理想的には、形状のCD(形状の幅)は、フォトレジスト112における形状のCD116に等しくなる。実際には、形状のCD116は、ファセッティング、フォトレジストの腐食、または、アンダーカットによって、フォトレジスト112のCDよりも大きくなる場合がある。形状は、先細りになる場合もあり、その場合、形状のCDが、フォトレジストのCDと少なくとも同程度の大きさであっても、形状の底部付近では、形状の幅が小さくなっている。かかる先細りによって、信頼性のない形状が形成されうる。
より小さいCDを有する形状を提供するために、より短い波長の光を用いて形状を形成することが追求されている。193nmフォトレジストは、193nmの光で露光される。位相シフトレチクルなどの技術を用いると、193nmフォトレジストによって、90ないし100nmのCDを有するフォトレジストパターンを形成できる。これにより、90ないし100nmのCDを有する形状を提供できる。157nmフォトレジストは、157nmの光で露光される。位相シフトレチクルなどの技術を用いると、90nm以下のCDを有するフォトレジストパターンを形成できる。これにより、90nm以下のCDを有する形状を提供できる。
短い波長用のフォトレジストを用いると、長い波長用のフォトレジストの場合に比べて、さらなる問題が生じうる。理論的な限界に近いCDを実現するためには、リソグラフィ装置は、より精密であることが好ましく、すなわち、高価なリソグラフィ機器が必要になる。現在、193nmフォトレジストおよび157nmフォトレジストは、より長い波長用のフォトレジスト比べて、選択性が高くないと共にプラズマエッチング条件下で変形しやすい。
メモリ素子の形成など、導電層のエッチングの際には、性能を損なうことなく、素子の密度を増大させることが望ましい。
上述の課題を解決するために、本発明の目的に従って、エッチング層に形状を形成するための方法が提供されている。フォトレジスト層が、エッチング層の上に形成される。フォトレジスト層は、フォトレジスト側壁を有するフォトレジスト形状を形成するためにパターン化され、フォトレジスト形状は、第1のクリティカルディメンションを有する。制御層が、フォトレジスト層とフォトレジスト形状の底部とを覆うように形成される。フォトレジスト形状のクリティカルディメンションを低減するために、共形層が、フォトレジスト形状の側壁と制御層とを覆うように蒸着される。制御層貫通剤を用いて、制御層に開口部が設けられる。制御層貫通剤とは異なるエッチング剤を用いて、エッチング層に形状がエッチングされる。エッチング層形状は、第1のクリティカルディメンションより小さい第2のクリティカルディメンションを有し、制御層は、共形層よりもエッチング剤によるエッチングに対するエッチング耐性が高い。
本発明の別の実施形態では、エッチング層に形状を形成するための方法が提供されている。フォトレジスト形状を有するパターン化フォトレジストマスクの下に蒸着されたエッチング層を有するウエハが、エッチングチャンバ内に配置される。制御層が、フォトレジスト層とフォトレジスト形状の底部とを覆うように形成される。フォトレジスト形状のクリティカルディメンションを低減するために、共形層が、フォトレジスト形状の側壁と制御層とを覆うように蒸着される。第1のエッチング剤を用いて、制御層に開口部がエッチングされる。第1のエッチング剤とは異なる第2のエッチング剤を用いて、エッチング層に形状がエッチングされる。エッチング層形状は、第1のクリティカルディメンションより小さい第2のクリティカルディメンションを有し、制御層は、共形層よりも第2のエッチング剤によるエッチングに対するエッチング耐性が高い。フォトレジストマスクは剥離される。ウエハは、エッチングチャンバから取り出される。
本発明の別の実施形態では、エッチング層に形状を形成するための装置が提供されており、エッチング層は、基板によって支持されると共に、第1のCDを有するフォトレジスト形状を備えたフォトレジストマスクによって覆われている。プラズマ処理チャンバが提供されており、そのプラズマ処理チャンバは、プラズマ処理チャンバ容器を形成するチャンバ壁と、プラズマ処理チャンバ容器内で基板を支持するための基板支持と、プラズマ処理チャンバ容器内の圧力を調整するための圧力調整手段と、プラズマ処理チャンバ容器に電力を供給してプラズマを維持するための少なくとも1つの電極と、プラズマ処理チャンバ容器にガスを供給するためのガス流入口と、プラズマ処理チャンバ容器からガスを排出するためのガス流出口と、を備える。ガス源が、ガス流入口と流体接続されている。ガス源は、制御層ガス源と、蒸着ガス源と、プロフィル成型ガス源と、エッチャントガス源と、を備える。制御部が、ガス源と少なくとも1つの電極とに制御可能に接続されている。制御部は、少なくとも1つのプロセッサと、コンピュータ読み取り可能な媒体と、を備える。コンピュータ読み取り可能な媒体は、制御層を提供するためのコンピュータ読み取り可能なコードと、フォトレジスト形状内に、第2のCDを有する形状を形成するために、フォトレジストマスクと制御層とに側壁蒸着物を形成する少なくとも2つの蒸着サイクルを実行するためのコンピュータ読み取り可能なコードと、少なくとも3つの蒸着サイクルの完了後に、エッチャントガス源からプラズマ処理チャンバ容器にエッチャントガスの流れを供給するためのコンピュータ読み取り可能なコードと、エッチャントガスを用いて層に形状をエッチングするためのコンピュータ読み取り可能なコードと、を備え、層の形状は、第3のCDを有する。制御層を提供するためのコンピュータ読み取り可能なコードは、制御層ガス源からプラズマ処理チャンバ容器に制御層ガスを供給するためのコンピュータ読み取り可能なコードと、制御層ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、を備える。少なくとも2つの蒸着サイクルを実行するためのコンピュータ読み取り可能なコードは、蒸着ガス源からプラズマ処理チャンバ容器に蒸着ガスの流れを供給するためのコンピュータ読み取り可能なコードと、蒸着ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、蒸着ガス源からプラズマ処理チャンバ容器への蒸着ガスの流れを停止するためのコンピュータ読み取り可能なコードと、蒸着ガスの流れが停止された後に、プロフィル成形ガス源からプラズマ処理チャンバ容器にプロフィル成形ガスの流れを供給するためのコンピュータ読み取り可能なコードと、プロフィル成形ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、プロフィル成形ガス源からプラズマ処理チャンバ容器へのプロフィル成形ガスの流れを停止するためのコンピュータ読み取り可能なコードと、を備える。
添付の図面を参照しつつ行う本発明の詳細な説明において、本発明の上述の特徴およびその他の特徴を詳述する。
以下では、添付図面に例示されたいくつかの好ましい実施形態を参照しつつ、本発明の詳細な説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本発明が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
本発明は、小さいクリティカルディメンション(CD)を有する形状を提供する。具体的には、本発明は、形状をエッチングするために用いるフォトレジストパターンのCDよりも小さいCDを有する形状を提供する。
理解しやすいように、本発明の一実施形態で利用可能な処理を示す概略フローチャートを図2に示す。パターン化フォトレジストマスクが準備される(工程204)。図3Aは、基板304と、エッチング層308と、ARL310と、形状314を有するパターン化フォトレジストマスク312とを、この順に積み重ねた積層体300を示す断面図である。フォトレジストマスクは、フォトレジスト形状のクリティカルディメンション(CD)を有し、そのクリティカルディメンションは、可能な限り最小の形状が有する幅316の最も広い部分であってよい。現在、248nmフォトレジストについては、従来の処理を用いると、通例、230ないし250nmのCDが可能である。
次いで、フォトレジストマスクと、フォトレジスト形状の底部とを覆って、制御層が蒸着される(工程206)。図3Bは、フォトレジストマスク312を覆うように制御層318が蒸着されて、フォトレジスト形状314の底部においてエッチング層310の一部が露出された状態のパターン化フォトレジストマスクを示す断面図である。
次いで、CDを低減するために、フォトレジスト形状の側壁と制御層とを覆うように、共形層が蒸着される(工程208)。共形蒸着層320は、フォトレジスト形状314内に蒸着層形状322を形成する。ここで、蒸着層形状322は、フォトレジスト形状314のCD316よりも小さい低減CD324を有する。蒸着層形状322の低減CD324は、フォトレジスト形状のCD316よりも少なくとも30%小さい(すなわち、フォトレジスト形状のCD316の70%未満である)ことが好ましい。蒸着層形状322の低減CD324は、フォトレジスト形状のCD316よりも少なくとも40%小さい(すなわち、フォトレジスト形状のCD316の60%未満である)ことがさらに好ましい。蒸着層形状322の低減CD324は、フォトレジスト形状のCD316よりも少なくとも50%小さい(すなわち、フォトレジスト形状のCD316の50%未満である)ことが最も好ましい。例えば、蒸着層形状は、フォトレジスト形状のCD316よりも99%小さい低減CD316を有してもよい。また、蒸着層形状322は、ほぼ垂直な側壁328を有することが望ましく、それらの側壁328は、図に示すように、非常に共形になっている。ほぼ垂直の側壁の一例は、下端から上端まで形状の底部に対して88°ないし90°の角度をなす側壁である。共形の側壁は、形状の上部から底部までほぼ同じ厚さの蒸着層を有する。共形でない側壁は、ファセッティングやブレッドローフィング(bread-loafing)を形成し、ほぼ垂直な側壁にならない場合がある。(ファセッティングの形成による)先細りの側壁、または、ブレッドローフィングの生じた側壁は、蒸着層のCDを増大させて、エッチングマスクの質を悪くする場合がある。側壁における蒸着物は、フォトレジスト形状の底部における蒸着物よりも厚いことが好ましい。図3Bに示すように、フォトレジスト層形状の底部における制御層の上には、層が蒸着しないことがさらに好ましい。ただし、蒸着層がフォトレジスト形状の底部に存在する場合には、ポリマ貫通エッチング工程により、フォトレジスト形状の底部に蒸着したポリマをエッチングする。
次いで、制御層を開口するために、制御層貫通エッチングが施される(工程210)。制御層貫通エッチングは、制御層318を垂直に貫通し、横方向に蒸着層320をほとんどエッチングすることなく、制御層318を選択的にエッチングする。貫通エッチングの完了後には、ARC310および層308に、いくらかの凹部が存在する場合がある。図3Cは、貫通エッチング完了後の制御層318を示す図である。
次いで、主エッチング処理により、蒸着層形状322および制御層を通して、エッチング層308内に形状がエッチングされる。主エッチング処理は、制御層がより高いエッチング耐性を有するようなエッチング処理であることが好ましく、それにより、主エッチング処理は、制御層よりもエッチング層を選択的にエッチングし、制御層が蒸着層320よりも高いエッチング耐性を有するようになる。図3Dは、エッチング層308にエッチングされた形状332を示す図である。この例では、エッチング層308内にエッチングされた形状332は、蒸着層形状322のCD324に等しいCD336を有する。実際には、形状332のCD336は、蒸着層320の形状322のCD324よりも少し大きくてよい。ただし、蒸着層形状322のCD324は、フォトレジスト312のCD316よりも大幅に小さいため、エッチング層308の形状332のCD336も、フォトレジスト312のCD316より小さい。エッチング層308にエッチングされた形状332のCD336は、フォトレジスト形状のCD316よりも少なくとも30%小さいことが好ましい。エッチング層308にエッチングされた形状332のCD336は、フォトレジスト形状のCD316よりも少なくとも40%小さいことがより好ましい。エッチング層308にエッチングされた形状332のCD336は、フォトレジスト形状のCD316よりも少なくとも50%小さいことが最も好ましい。
次いで、ARC、フォトレジスト、制御層、および、蒸着層は、剥離される(工程216)。これは、1つの工程として実行されてもよし、蒸着層の除去工程とフォトレジストの剥離工程とを別個に含む2以上の工程として実行されてもよい。剥離処理のために、アッシングが用いられてよい。図3Eは、蒸着層、制御層、および、フォトレジストマスクを除去した後の積層体300を示す図である。さらなる形成工程を実行してもよい(工程220)。例えば、コンタクト340が、形状内に形成されてよい。デュアルダマシン構造を提供するために、コンタクトが形成される前に、トレンチがエッチングされてもよい。コンタクトの形成後に、さらなる処理が実行されてもよい。
制御層318は、蒸着層320よりも主エッチングに対するエッチング耐性が高いため、制御層を貫通する開口部は、ラインアンドスペースパターンの場合にはラインエッジラフネスを低減し、ビア/ホールパターンの場合にはストリエーションを低減するのを助けるエッチングマスクとして機能する。
図4は、CDを低減するためにフォトレジスト形状の側壁を覆うように蒸着層を形成する工程208を示す詳細なフローチャートである。この実施形態では、CDを低減するためにフォトレジスト形状の側壁を覆うように蒸着層を形成する工程208は、蒸着段階404と、プロフィル成形段階408とを含む。蒸着層のCD324が、フォトレジストのCDよりも少しだけ小さい場合、すなわち、蒸着層に、ファセッティングまたはブレッドローフィングが生じた場合には、エッチング層のCDが、フォトレジストのCDよりも小さくならない場合がある。さらに、ファセッティングまたはブレッドローフィングの生じた蒸着層により、エッチングされる層において、ファセッティングされた形状または不規則な形の形状が生じうる。フォトレジスト形状の底部における蒸着物を最小限に抑えることが望ましい。図4に示した2段階の蒸着を複数回行うことにより、ファセッティングおよびブレッドローフィングを低減する共形層が提供され、フォトレジスト形状の底部における蒸着を防止できる。
誘電体エッチングの例
この例では、酸化ケイ素、窒化ケイ素、炭化ケイ素、または、低誘電率(OSG、多孔質低誘電率)材料などの誘電体層が、エッチング層を形成している。別の実施形態では、エッチング層は、Si、金属、および、ポリSiなどの導体であってもよい。図5は、制御層の蒸着、共形層の蒸着、制御層の貫通、エッチング層のエッチング、および、剥離に利用可能なプラズマ処理チャンバ500を示す説明図である。プラズマ処理チャンバ500は、閉じ込めリング502と、上側電極504と、下側電極508と、ガス源510と、排気ポンプ520とを備える。ガス源510は、第1の蒸着ガス源512と、プロフィル成形ガス源516と、制御層ガス源519とを備えてよい。ガス源510は、エッチングガス源518など、さらなるガス源を備えてもよい。プラズマ処理チャンバ500内で、基板304は、下側電極508の上に配置される。下側電極508は、基板304を保持するのに適切な基板保持機構(例えば、静電チャック、機械的クランプなど)を備える。リアクタ上部528には、下側電極508のちょうど反対側に配置された上側電極504が組み込まれている。上側電極504、下側電極508、および、閉じ込めリング502は、閉じ込めプラズマ容積を規定する。ガスは、ガス源510によって、閉じ込めプラズマ容積に供給され、排気ポンプ520によって、閉じ込めリング502および排気口を通って、閉じ込めプラズマ容積から排気される。上側電極504には、第1のRF電源544が、電気的に接続されている。下側電極508には、第2のRF電源548が、電気的に接続されている。チャンバ壁552が、閉じ込めリング502、上側電極504、および、下側電極508を取り囲んでいる。第1のRF電源544および第2のRF電源548は両方とも、60MHz電源と27MHz電源と2MHz電源とを備えてよい。電極に接続するRF電源は、他の組み合わせも可能である。カリフォルニア州フレモントのLAM Research Corporation(登録商標)が製造し、本発明の好ましい実施形態で利用可能である、Exelan HPT(登録商標)(基本的に、チャンバにターボポンプを取り付けたExelan HPと同じもの)の場合には、60MHz、27MHz、および、2MHz電源が、下側電極に接続された第2のRF電源548を構成し、上側電極は接地される。RF電源544、548、排気ポンプ520、および、ガス源510には、制御部535が、制御可能なように接続されている。エッチング層308が、酸化シリコンまたは有機ケイ酸塩ガラスなどの誘電体層である場合には、Exelan HPTまたは2300 DFCシステムが用いられる。
図6Aおよび6Bは、本発明の実施形態で用いられる制御部535の実施に適したコンピュータシステム1300を示す図である。図6Aは、コンピュータシステムの物理的形状の一例を示す。もちろん、コンピュータシステムは、集積回路、プリント基板、および、小型携帯デバイスから大型スーパコンピュータまで、多くの物理的形状を有してよい。コンピュータシステム1300は、モニタ1302と、ディスプレイ1304と、筐体1306と、ディスクドライブ1308と、キーボード1310と、マウス1312とを備える。ディスク1314は、コンピュータシステム1300とデータをやり取りするために用いられるコンピュータ読み取り可能な媒体である。
図6Bは、コンピュータシステム1300のブロック図の一例である。システムバス1320には、様々なサブシステムが取り付けられている。1または複数のプロセッサ1322(中央処理装置すなわちCPUとも呼ぶ)が、メモリ1324などの記憶装置に接続されている。メモリ1324は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当技術分野で周知のように、ROMは、CPUに対して単方向的にデータや命令を転送するよう機能し、RAMは、通例、双方向的にデータや命令を転送するために用いられる。これらの種類のメモリは両方とも、後に示す任意の適切なコンピュータ読み取り可能媒体を備えてよい。CPU1322には、さらに、固定ディスク1326が、双方向的に接続されており、さらなるデータ記憶容量を提供している。固定ディスク1326は、後に示すコンピュータ読み取り可能媒体のいずれを備えてもよい。固定ディスク1326は、プログラムやデータなどを格納するために用いられてよく、通例は、一次記憶装置よりも遅い二次記憶媒体(ハードディスクなど)である。固定ディスク1326内に保持された情報は、必要に応じて、メモリ1324内の仮想メモリとして標準的な方法で組み込まれてよいことを理解されたい。リムーバブルディスク1314は、後に示すコンピュータ読み取り可能な媒体のいずれの形態を取ってもよい。
CPU1322は、さらに、ディスプレイ1304、キーボード1310、マウス1312、および、スピーカ1330など、様々な入力/出力装置に接続されている。一般に、入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチセンサ式ディスプレイ、トランスデューサ式カードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識装置、バイオメトリクスリーダ、または、他のコンピュータ、のいずれであってもよい。CPU1322は、必要に応じて、ネットワークインターフェース1340を用いて、他のコンピュータや電気通信ネットワークに接続されてもよい。かかるネットワークインターフェースを用いて、CPUは、上述の方法の工程を実行する途中で、ネットワークから情報を受信、または、ネットワークに情報を出力してよい。さらに、本発明の方法の実施形態は、CPU1322単体で実行されてもよいし、インターネットなどのネットワークを介して、処理の一部を分担する遠隔CPUと協働で実行されてもよい。
さらに、本発明の実施形態は、様々なコンピュータによる動作を実行するためのコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明のために、特別に設計および構成されてもよいし、コンピュータソフトウェア分野における当業者にとって周知および利用可能なものであってもよい。コンピュータ読み取り可能な媒体の例としては、ハードディスク、フレキシブルディスク、磁気テープなどの磁気媒体;CD−ROM、ホログラフィック素子などの光学媒体;フロプティカルディスクなどの光磁気媒体;特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)、ROMおよびRAMなど、プログラムコードを格納および実行するよう特別に構成されたハードウェア装置、が挙げられるが、それらに限定されない。コンピュータコードの例としては、コンパイラによって生成されたコードなどのマシンコードや、インタープリタを用いてコンピュータによって実行される高級言語コードを含むファイルが挙げられる。コンピュータ読み取り可能な媒体は、搬送波で具現化されたコンピュータデータ信号によって転送されると共にプロセッサが実行可能な一連の命令を表すコンピュータコードであってもよい。
制御層206を提供する工程の一例では、100sccm(標準立方センチメートル毎分)のC24からなる化学剤が、ターボポンプのVatバルブを55に設定することによって得られる44mTorrの圧力で供給される。60MHzのRF電源が、80ワットの電力を供給する。制御層を提供するために、この処理は、40ないし50秒間維持される(工程206)。この処理は、制御層318を形成する。
次に、フォトレジスト形状の側壁と制御層とを覆うように、共形層が蒸着される(工程208)。
蒸着段階404の一例は、ターボポンプのVatバルブを1000に設定することによって得られる70mTorrの圧力で、60sccm(標準平方センチメートル毎分)のArと、75sccmのCF4と、100sccmのH2とからなる化学剤を用いたCF4蒸着であってよい。27MHzのRF電源は800ワットの電力を供給し、2MHzのRF電源は400ワットの電力を供給する。この段階は、約12秒間維持される。
プロフィル成形段階408の一例は、ターボポンプのVatバルブを1000に設定することによって得られる25mTorrの圧力で、87sccmのCF4からなる化学剤を用いてよい。27MHzのRF電源は800ワットの電力を供給し、2MHzのRF電源は0ワットの電力を供給する。この段階は、約3秒間維持される。
蒸着段階404の後に成形段階408を用いた繰り返し処理は、8回繰り返される。
蒸着段階404およびプロフィル成形段階408の時間比を制御できることにより、他の制御変数が提供される。適切な時間比を用いると、図3Bに示したように、ほぼ垂直な共形の側壁が実現される。また、かかる蒸着層は、フォトレジストマスクを保護して、エッチング選択比を増大させることができる。蒸着プロフィルの制御に利用可能な本発明によって提供される他の制御パラメータは、繰り返し回数、総蒸着時間、蒸着/プロフィル成形の時間比、ガス剤の比率(CF4/H2など)がある。CF4/H2の代わりにC46/O2またはCH3F/N2、CF4の代わりにCH3F/O2またはCH3F/N2など、別のガス剤を用いてもよい。
フォトレジストを変更することなく、より小さいクリティカルディメンションを有する形状を形成できることにより、新しいリソグラフィ装置を購入することなく、より小さい形状を実現できる。より新しい世代のフォトレジストを用いる場合には、本発明により、それらの世代のフォトレジストに対して、より小さいCDが実現される。
別の実施形態では、3以上の異なるガス剤を用いて、3以上の異なる蒸着段階を行ってもよい。
制御層を開口するために、制御層貫通エッチングが施される(工程212)。制御層貫通エッチングの一例は、120mTorrの圧力で87sccmのCF4からなる化学剤を用いる。27MHzのRF電源は800ワットの電力を供給し、2MHzのRF電源は0ワットの電力を供給する。これは、約55ないし65秒間維持される。
次いで、制御層および蒸着層を通してエッチング層に形状をエッチングするために、主エッチングが実行される(工程216)。この例では、500sccmのArと、400sccmのN2と、60sccmのCF4と、70sccmのH2とからなるエッチング剤が、70mTorrの圧力で供給される。27MHzのRF電源は160ワットの電力を供給し、2MHzのRF電源は100ワットの電力を供給する。これは、約8秒間維持される。
主エッチングの完了後、フォトレジスト、蒸着層、および、制御層が剥離されてよい(工程216)。200sccmのO2からなる剥離剤が、10mTorrで供給される。27MHzのRF電源は300ワットの電力を供給し、2MHzのRF電源は100ワットの電力を供給する。これは、約50秒間維持される。
保護層(制御層)の追加が、ラインエッジラフネスと、ワイングラス形成(wine glass formation)とを低減することがわかった。
別の実施形態では、ハードマスクをマスクとして用いてもよい。かかる実施形態では、ハードマスクを開口するために、フォトレジストマスクを用いてよい。蒸着層は、間隔を低減するために、ハードマスクの上に配置されてよい。あるいは、蒸着層は、ハードマスクのエッチングの前に、フォトレジストの上に配置されてもよい。
好ましい実施形態では、制御層は、蒸着層よりもフッ素の濃度が低い層である。制御層は、フッ素の濃度が高いポリマ層である蒸着層よりもフッ素の濃度が低いポリマ層であることが、より好ましい。制御層は、フッ素を含まないポリマ層であることが、最も好ましい。フッ素を含まないポリマ層は、アモルファス炭素層または炭化水素ポリマと呼ばれてよく、蒸着層は、フッ化炭化水素ポリマである。フッ素を含まないポリマを蒸着するために、フッ素成分を含まないC24、CH4、または、COなどの蒸着ガスを用いてよい。制御層が、いくらかのフッ素を含むが蒸着層よりも少ない場合には、蒸着層を形成するために、CH3Fを用いてもよい。
フォトレジスト形状全体によって形成される領域が少なくとも少しエッチングされる場合には、制御層がないと、いわゆる「ワイングラス形成」が起きることがわかった。ラインエッジラフネスに加えて、制御層は、ワイングラス形成も低減または排除することがわかった。
別の実施形態では、エッチング層は、Si、金属、および、ポリSiなどの導体であってもよい。したがって、本発明の処理は、酸化物、窒化物、炭化物、低誘電体などの誘電体、または、Si、ポリシリコン、金属などの導体材料であるいくつかの種類の膜をエッチングするために用いられてよい。本発明のエッチングは、ビア(ホール)またはトレンチ(ラインアンドスペース)を形成するために用いられてよい。
以上、いくつかの好ましい実施形態を参照しつつ本発明について説明したが、本発明の範囲内で、様々な代替物、置換物、および等価物が存在する。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替物、置換物、および等価物の全てを網羅するものとして解釈される。
従来技術に従ってエッチングされた積層体を示す略断面図である。 従来技術に従ってエッチングされた積層体を示す略断面図である。 本発明の一実施形態で利用可能な処理を示す概略フローチャートである。 本発明の一実施形態に従って処理された積層体を示す略断面図である。 本発明の一実施形態に従って処理された積層体を示す略断面図である。 本発明の一実施形態に従って処理された積層体を示す略断面図である。 本発明の一実施形態に従って処理された積層体を示す略断面図である。 本発明の一実施形態に従って処理された積層体を示す略断面図である。 CDを低減するためにフォトレジスト形状の側壁を覆うように層を蒸着する工程を示す詳細なフローチャートである。 本発明の実施に利用できるプラズマ処理チャンバを示す説明図である。 本発明の実施形態で用いられる制御部の実施に適したコンピュータシステムを示す図である。 本発明の実施形態で用いられる制御部の実施に適したコンピュータシステムを示す図である。

Claims (18)

  1. エッチング層に形状を形成するための方法であって、
    前記エッチング層の上にフォトレジスト層を形成する工程と、
    前記フォトレジスト層をパターン化して、フォトレジスト側壁を有するフォトレジスト形状を形成する工程であって、前記フォトレジスト形状は、第1のクリティカルディメンションを有する、工程と、
    前記フォトレジスト層と前記フォトレジスト形状の底部とを覆うように制御層を形成する工程と、
    前記フォトレジスト形状の前記クリティカルディメンションを低減するために、前記フォトレジスト形状の前記側壁と前記制御層とを覆うように共形層を蒸着する工程と、
    制御層貫通剤を用いて、前記制御層に開口部を貫通させる工程と、
    前記制御層貫通剤とは異なるエッチング剤を用いて前記エッチング層に形状をエッチングする工程と
    を備え、
    前記エッチング層形状は、前記第1のクリティカルディメンションより小さい第2のクリティカルディメンションを有し、前記制御層は、前記共形層よりも前記エッチング剤による前記エッチングに対するエッチング耐性が高い、方法。
  2. 請求項1に記載の方法であって、前記フォトレジスト形状の前記側壁と前記制御層とを覆うように前記共形層を蒸着する工程は、
    蒸着プラズマを形成するための蒸着ガス剤を用いる蒸着段階と、
    プロフィル成形プラズマを形成するための第2のガス剤を用いるプロフィル成形段階と、
    を備え、
    前記第1のガス剤は、前記第2のガス剤と異なる、方法。
  3. 請求項1ないし2のいずれかに記載の方法であって、前記フォトレジスト層を覆うように前記制御層を形成する工程は、
    フッ素を含まない制御層蒸着ガスを供給する工程と、
    前記フッ素を含まない制御層蒸着ガスからプラズマを形成する工程と、
    を備える、方法。
  4. 請求項1ないし3のいずれかに記載の方法であって、前記フォトレジストを覆うように前記制御層を形成する工程は、
    ラインエッジラフネスおよびストリエーションの少なくとも一方を低減する工程と、
    ワイングラス形状を防止する工程と、
    を備える、方法。
  5. 請求項1ないし4のいずれかに記載の方法であって、前記側壁を覆うように前記共形層を蒸着する工程は、フッ化炭化水素材料および炭化水素材料の少なくとも一方のほぼ垂直な側壁を形成する、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、前記制御層は、炭化水素材料である、方法。
  7. 請求項1ないし6のいずれかに記載の方法であって、さらに、前記フォトレジストマスクと、前記制御層と、前記蒸着された共形層とを、1つの剥離工程によって剥離する工程を備える、方法。
  8. 請求項1ないし6のいずれかに記載の方法であって、前記制御層を形成する工程と、前記共形層を蒸着する工程と、前記制御層に開口部をエッチングする工程と、エッチング層に形状をエッチングする工程とは、1つのエッチングチャンバで実行される、方法。
  9. 請求項2ないし8のいずれかに記載の方法であって、前記蒸着段階および前記プロフィル成形段階は、交互に少なくとも1回繰り返される、方法。
  10. 請求項1ないし9のいずれかに記載の方法であって、前記共形層の厚さは、前記第1のクリティカルディメンションよりも少なくとも30%小さいクリティカルディメンションを有する共形蒸着層形状を形成する、方法。
  11. 請求項1ないし10のいずれかに記載の方法であって、前記第2のクリティカルディメンションは、前記第1のクリティカルディメンションよりも少なくとも30%小さい、方法。
  12. 請求項1ないし11のいずれかに記載の方法によって形成された半導体素子。
  13. エッチング層に形状を形成するための方法であって、
    フォトレジスト形状を有するパターン化フォトレジストマスクの下に蒸着されたエッチング層を有するウエハをエッチングチャンバ内に配置する工程と、
    前記フォトレジスト層と前記フォトレジスト形状の底部とを覆うように制御層を形成する工程と、
    前記フォトレジスト形状のクリティカルディメンションを低減するために、前記フォトレジスト形状の前記側壁と前記制御層とを覆うように共形層を蒸着する工程と、
    第1のエッチング剤を用いて前記制御層に開口部をエッチングする工程と、
    前記第1のエッチング剤とは異なる第2のエッチング剤を用いて前記エッチング層に形状をエッチングする工程であって、前記エッチング層形状は、前記第1のクリティカルディメンションより小さい第2のクリティカルディメンションを有し、前記制御層は、前記共形層よりも前記第2のエッチング剤による前記エッチングに対するエッチング耐性が高い、工程と、
    前記フォトレジストマスクを剥離する工程と、
    前記エッチングチャンバから前記ウエハを取り出す工程と、
    を備える、方法。
  14. 請求項13に記載の方法であって、前記フォトレジスト形状の前記側壁と前記制御層とを覆うように前記共形層を蒸着する工程は、
    蒸着プラズマを形成するための蒸着ガス剤を用いる蒸着段階と、
    プロフィル成形プラズマを形成するための第2のガス剤を用いるプロフィル成形段階と、
    を備える処理を少なくとも2回実行する工程を備え、
    前記第1のガス剤は、前記第2のガス剤と異なる、方法。
  15. 請求項13に記載の方法であって、前記フォトレジスト層を覆うように前記制御層を形成する工程は、
    フッ素を含まない制御層蒸着ガスを供給する工程と、
    前記フッ素を含まない制御層蒸着ガスからプラズマを形成する工程と、
    を備える、方法。
  16. 請求項13ないし15のいずれかに記載の方法であって、前記共形層の厚さは、前記第1のクリティカルディメンションよりも少なくとも30%小さいクリティカルディメンションを有する共形蒸着層形状を形成する、方法。
  17. 請求項13ないし16のいずれかに記載の方法であって、前記第2のクリティカルディメンションは、前記第1のクリティカルディメンションよりも少なくとも30%小さい、方法。
  18. エッチング層に形状を形成するための装置であって、前記エッチング層は、基板によって支持されると共に、第1のCDを有するフォトレジスト形状を備えたフォトレジストマスクによって覆われており、前記装置は、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁と、
    前記プラズマ処理チャンバ容器内で基板を支持するための基板支持と、
    前記プラズマ処理チャンバ容器内の圧力を調整するための圧力調整手段と、
    前記プラズマ処理チャンバ容器に電力を供給してプラズマを維持するための少なくとも1つの電極と、
    前記プラズマ処理チャンバ容器にガスを供給するためのガス流入口と、
    前記プラズマ処理チャンバ容器からガスを排出するためのガス流出口と、
    を備える、プラズマ処理チャンバと、
    前記ガス流入口と流体接続されたガス源であって、
    制御層ガス源と、
    蒸着ガス源と、
    プロフィル成形ガス源と、
    エッチャントガス源と、
    を備える、ガス源と、
    前記ガス源と前記少なくとも1つの電極とに制御可能に接続された制御部であって、
    少なくとも1つのプロセッサと、
    コンピュータ読み取り可能な媒体と、
    を備える、制御部と、
    を備え、
    前記コンピュータ読み取り可能な媒体は、
    制御層を提供するためのコンピュータ読み取り可能なコードであって、
    前記制御層ガス源から前記プラズマ処理チャンバ容器に制御層ガスを供給するためのコンピュータ読み取り可能なコードと、
    前記制御層ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、
    を備える、コンピュータ読み取り可能なコードと、
    前記フォトレジスト形状内に、第2のCDを有する形状を形成するために、フォトレジストマスクと前記制御層とに側壁蒸着物を形成する少なくとも2つの蒸着サイクルを実行するためのコンピュータ読み取り可能なコードであって、
    前記蒸着ガス源から前記プラズマ処理チャンバ容器に蒸着ガスの流れを供給するためのコンピュータ読み取り可能なコードと、
    前記蒸着ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、
    前記蒸着ガス源から前記プラズマ処理チャンバ容器への前記蒸着ガスの前記流れを停止するためのコンピュータ読み取り可能なコードと、
    前記蒸着ガスの前記流れが停止された後に、前記プロフィル成形ガス源から前記プラズマ処理チャンバ容器にプロフィル成形ガスの流れを供給するためのコンピュータ読み取り可能なコードと、
    前記プロフィル成形ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、
    前記プロフィル成形ガス源から前記プラズマ処理チャンバ容器への前記プロフィル成形ガスの前記流れを停止するためのコンピュータ読み取り可能なコードと、
    を備える、コンピュータ読み取り可能なコードと、
    前記少なくとも2つの蒸着サイクルの完了後に、前記エッチャントガス源から前記プラズマ処理チャンバ容器にエッチャントガスの流れを供給するためのコンピュータ読み取り可能なコードと、
    前記エッチャントガスを用いて前記層に形状をエッチングするためのコンピュータ読み取り可能なコードと、
    を備え、
    前記層の前記形状は、第3のCDを有する、装置。
JP2008514669A 2005-05-31 2006-05-10 エッチング層に形状を形成するための方法 Expired - Fee Related JP5165560B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/142,509 2005-05-31
US11/142,509 US7695632B2 (en) 2005-05-31 2005-05-31 Critical dimension reduction and roughness control
PCT/US2006/018142 WO2006130319A2 (en) 2005-05-31 2006-05-10 Critical dimension reduction and roughness control

Publications (2)

Publication Number Publication Date
JP2009500811A true JP2009500811A (ja) 2009-01-08
JP5165560B2 JP5165560B2 (ja) 2013-03-21

Family

ID=37461941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008514669A Expired - Fee Related JP5165560B2 (ja) 2005-05-31 2006-05-10 エッチング層に形状を形成するための方法

Country Status (7)

Country Link
US (3) US7695632B2 (ja)
JP (1) JP5165560B2 (ja)
KR (1) KR101274308B1 (ja)
CN (1) CN101595551B (ja)
MY (2) MY158793A (ja)
TW (1) TWI381427B (ja)
WO (1) WO2006130319A2 (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100909629B1 (ko) * 2007-10-31 2009-07-27 주식회사 하이닉스반도체 포토마스크의 형성방법
KR101573954B1 (ko) * 2007-12-21 2015-12-02 램 리써치 코포레이션 포토레지스트 더블 패터닝
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8124537B2 (en) * 2008-02-12 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching integrated circuit structure
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
GB2495256B (en) 2010-06-25 2014-07-23 Anastasios J Tousimis Integrated processing and critical point drying systems for semiconductor and mems devices
US20120138567A1 (en) * 2010-12-01 2012-06-07 Toshiki Hirano Nanoimprint lithography method for making a patterned magnetic recording disk using imprint resist with enlarged feature size
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8450212B2 (en) 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US9111746B2 (en) * 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
JP6355374B2 (ja) * 2013-03-22 2018-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
GB201322931D0 (en) 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
CN106445216B (zh) * 2015-08-12 2019-03-19 蓝思科技(长沙)有限公司 一种提高过渡性保护区域尺寸精度的方法
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
CN116235283A (zh) 2020-08-18 2023-06-06 应用材料公司 沉积预蚀刻保护层的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637072A (ja) * 1992-07-15 1994-02-10 Kawasaki Steel Corp テーパエッチング方法
WO2004093176A1 (en) * 2003-04-09 2004-10-28 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5259909A (en) * 1990-12-21 1993-11-09 Sgs-Thomson Microelectronics S.A. System and method for the checking of a reactive plasma machine
JPH05283378A (ja) * 1992-03-30 1993-10-29 Nec Yamaguchi Ltd 半導体装置の製造方法
US5364742A (en) * 1992-09-21 1994-11-15 International Business Machines Corporation Micro-miniature structures and method of fabrication thereof
JP3311044B2 (ja) * 1992-10-27 2002-08-05 株式会社東芝 半導体装置の製造方法
JPH06177089A (ja) * 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
KR0168194B1 (ko) * 1995-12-14 1999-02-01 김광호 반도체 소자의 소자분리막 형성방법
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6106659A (en) * 1997-07-14 2000-08-22 The University Of Tennessee Research Corporation Treater systems and methods for generating moderate-to-high-pressure plasma discharges for treating materials and related treated materials
US6921794B2 (en) * 1997-08-12 2005-07-26 Exxonmobil Chemical Patents Inc. Blends made from propylene ethylene polymers
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US5989979A (en) 1998-12-10 1999-11-23 Chartered Semiconductor Manufacturing Ltd. Method for controlling the silicon nitride profile during patterning using a novel plasma etch process
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
TW444341B (en) * 2000-02-16 2001-07-01 United Microelectronics Corp Manufacturing method of ultra-small opening
US6486024B1 (en) * 2000-05-24 2002-11-26 Infineon Technologies Ag Integrated circuit trench device with a dielectric collar stack, and method of forming thereof
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
TW502300B (en) 2001-09-28 2002-09-11 Macronix Int Co Ltd Method of reducing pattern spacing or opening dimension
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
CN100451831C (zh) * 2001-10-29 2009-01-14 旺宏电子股份有限公司 减小图案间隙或开口尺寸的方法
KR100402392B1 (ko) * 2001-11-06 2003-10-17 삼성전자주식회사 트렌치 소자분리 구조를 갖는 반도체 소자 및 그 제조방법
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6703312B2 (en) * 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
CN1294639C (zh) * 2002-12-05 2007-01-10 台湾积体电路制造股份有限公司 浅槽与深槽隔离结构的制造方法
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US20040192058A1 (en) 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP3976703B2 (ja) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7045455B2 (en) * 2003-10-23 2006-05-16 Chartered Semiconductor Manufacturing Ltd. Via electromigration improvement by changing the via bottom geometric profile
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7083898B1 (en) * 2005-07-07 2006-08-01 International Business Machines Corporation Method for performing chemical shrink process over BARC (bottom anti-reflective coating)
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US20080230906A1 (en) * 2007-03-22 2008-09-25 Keith Kwong Hon Wong Contact structure having dielectric spacer and method
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637072A (ja) * 1992-07-15 1994-02-10 Kawasaki Steel Corp テーパエッチング方法
WO2004093176A1 (en) * 2003-04-09 2004-10-28 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Also Published As

Publication number Publication date
US20100148317A1 (en) 2010-06-17
WO2006130319A3 (en) 2009-04-16
JP5165560B2 (ja) 2013-03-21
MY144155A (en) 2011-08-15
MY158793A (en) 2016-11-15
US20120309201A1 (en) 2012-12-06
CN101595551A (zh) 2009-12-02
KR20080040625A (ko) 2008-05-08
TWI381427B (zh) 2013-01-01
US8268118B2 (en) 2012-09-18
US7695632B2 (en) 2010-04-13
KR101274308B1 (ko) 2013-06-13
WO2006130319A2 (en) 2006-12-07
US8614149B2 (en) 2013-12-24
CN101595551B (zh) 2013-10-16
TW200709277A (en) 2007-03-01
US20060266478A1 (en) 2006-11-30

Similar Documents

Publication Publication Date Title
JP5165560B2 (ja) エッチング層に形状を形成するための方法
KR101184956B1 (ko) 다수의 마스킹 단계를 이용하여 임계 치수를 감소시키는 방법
JP4886513B2 (ja) フィーチャ微小寸法の低減
KR101534883B1 (ko) 마스크 트리밍
US7241683B2 (en) Stabilized photoresist structure for etching process
JP5081917B2 (ja) フッ素除去プロセス
KR101711669B1 (ko) 측벽 형성 공정
KR101516455B1 (ko) Arl 에칭을 이용한 마스크 트리밍
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
JP2013016844A (ja) 均一性を制御したエッチング
US20070181530A1 (en) Reducing line edge roughness
JP2008507137A (ja) 低誘電体のエッチング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090508

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111213

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111220

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121219

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151228

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5165560

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees