KR100880131B1 - 유기질 저유전율 재료의 에칭 방법 - Google Patents

유기질 저유전율 재료의 에칭 방법 Download PDF

Info

Publication number
KR100880131B1
KR100880131B1 KR1020037010572A KR20037010572A KR100880131B1 KR 100880131 B1 KR100880131 B1 KR 100880131B1 KR 1020037010572 A KR1020037010572 A KR 1020037010572A KR 20037010572 A KR20037010572 A KR 20037010572A KR 100880131 B1 KR100880131 B1 KR 100880131B1
Authority
KR
South Korea
Prior art keywords
etching
sccm
preferred
flow rate
dielectric constant
Prior art date
Application number
KR1020037010572A
Other languages
English (en)
Other versions
KR20030086998A (ko
Inventor
헬렌에이치. 주
제임스알. 바워스
이안제이. 모리
웨인 베이비
마이클 고스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030086998A publication Critical patent/KR20030086998A/ko
Application granted granted Critical
Publication of KR100880131B1 publication Critical patent/KR100880131B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

마이크로마스킹 효과를 최소화하면서 집적 회로 웨이퍼의 특징부를 에칭하는 방법이 소개된다. 이 방법은 탄화플루오르 가스를 포함한 에천트 가스를 웨이퍼에 유입시키고, 에천트 가스를 이용하여 웨이퍼 부근에 플라즈마를 형성하도록 한다. 플라즈마는 웨이퍼 특징부 일부분을 에칭하는 데 사용된다. 탄화플루오르를 플루오르와 탄화수소 종으로 해리하는 것은 두가지 기능을 실행한다. 플루오르 종은 에칭중 에칭된 특징부의 바닥에 스퍼터링된 하드마스크 요소가 증착되는 것을 방지하거나 크게 감소시킨다. 탄화수소 종은 특징부 측벽에 부동태층을 형성하는 작용을 한다.

Description

유기질 저유전율 재료의 에칭 방법{PROCESS FOR ETCHING ORGANIC LOW-K MATERIALS}
본 발명은 반도체 제작에 관한 것이다. 더 구체적으로는, 본 발명은 반도체 웨이퍼의 유기질 저유전율 유전체의 에칭에 관한 것이다.
집적 회로는 반도체 구조물의 여러 층 위의 전도 라인들을 절연시키기 위해 이산화규소(SiO2)로부터 통상적으로 형성되는 유전층들을 이용한다. 반도체 회로가 점점 고속화되고 소형화됨에 따라, 동작 주파수가 증가하고 반도체 디바이스내의 전도 라인간 거리가 감소하고 있다. 이로 인해, 회로에 대한 결합 커패시턴스가 증가하며, 이는 반도체 디바이스의 동작을 저하시키는 단점을 보인다. 따라서, 이러한 증가한 결합 커패시턴스 레벨에 대하여 전도 라인들을 효과적으로 절연시킬 수 있는 유전층들을 이용하는 것이 중요해지고 있다.
일반적으로, 집적 회로의 결합 커패시턴스는 유전층을 형성하는 데 사용되는 재료의 유전율 k에 정비례한다. 상술한 바와 같이, 기존 집적 회로의 유전층들은 통상적으로 SiO2로 만들어지며, 그 유전율은 약 4.0이다. 반도체 디바이스에서 선밀도와 동작 주파수를 증가시킨 결과, SiO2로 만들어지는 유전층들은 증가된 결합 커패시턴스 레벨을 방지하기 위해 요구되는 범위까지 전도 라인을 효과적으로 절연시키지 못할 수도 있다.
집적 회로에서 결합 커패시턴스 레벨을 감소시키려는 노력에서, 반도체 산업은 SiO2보다 더 낮은 유전율을 가지는 재료들을 개발하려고 시도하고 있고, 이 재료들은 물론 집적 회로의 유전층 형성에 사용하기 적합하여야 할 것이다. 오늘날까지, "저유전율 재료" 이라 불리는 수많은 유망한 (promising) 재료들이 개발되어 왔다. 이 새로운 유전체 중 다수가 유기화합물이다. 명세서 및 청구범위에서 저유전율 재료는 유전율 "k"가 3보다 작은 재료로 정의된다.
저유전율 재료는 벤조시클로부텐(BCB); 미네소타, Minneapolis 소재 Honeywell, Inc.의 자회사인 뉴저지, Morristown 소재 Allied Signal 사가 제작한 FlareTM; 코네티컷, Danbury 소재 Union Carbide
Figure 112007008862072-pct00007
Corporation 사의 하나 이상의 Parylene dimers; 폴리테트라플루로에틸렌(PTFE); 그리고 SiLK
Figure 112007008862072-pct00008
를 포함한다. 그러나 이에 한정되지는 않는다. 집적 회로 유전 애플리케이션에 사용하기 적합한 한가지 PTFE는, 델라웨어, Newark 소재 W.L.Gore&Associates,Inc. 사의 SPEEDFILMTM이 있고, 미시간, Midland 소재 Dow
Figure 112007008862072-pct00009
Chemical Company 사의 SiLK
Figure 112007008862072-pct00010
는 실리콘-프리 (silicon-free) BCB이다.
반도체 웨이퍼 처리 중, 반도체 디바이스의 특징부 (feature) 들은 널리-공지된 패턴처리 및 에칭 프로세스를 이용하여 웨이퍼에 형성된다. 이 프로세스들에서, 포토레지스트(PR) 재료가 웨이퍼 상에 증착되고, 그 후, 레티클(reticle)에 의해 필터링되도록 광에 노출된다. 일반적으로, 이 레티클은 일례의 특징부의 기하학적 배열로 패턴처리되는 글래스판으로서, 레티클을 통한 광의 투과를 차단시킨다.
레티클 투과 후, 광은 포토레지스트 재료의 표면과 접촉한다. 광은 포토레지스트 재료의 화학적 조성을 변화시켜서, 현상액(developer)이 포토레지스트 재료의 일부를 제거할 수 있도록 한다. 양성 포토레지스트 재료의 경우, 노출된 영역들이 제거되며, 음성 포토레지스트 재료의 경우, 노출되지 않은 영역들이 제거된다. 그 후 웨이퍼는 더 이상 포토레지스트 재료에 의해 보호되지 않은 영역으로부터 하부 재료를 제거하도록 에칭되며, 따라서 웨이퍼에 요망 특징부들을 형성한다. 저유전율 유기질 폴리머는 일반적으로 산화(가령, 산소-기반)나 환원(가령, 수소-기반) 화학 처리에 의해 에칭될 수 있다.
유전체의 에칭은 DFC(dual-frequency capacitively-coupled) 유전체 에칭 시스템에서 실현되는 것이 바람직할 수도 있다. 이러한 시스템 중 한가지로, 캘리포니아, Fremont 소재 Lam
Figure 112007008862072-pct00011
Research Corporation 사의 Lam
Figure 112007008862072-pct00012
Research model 4520 XLeTM와 Exelan-HPTM가 있다. 4520XLeTM 시스템은 매우 포괄적인 유전체 에칭 포트폴리오를 프로세싱한다. 이 프로세스는 접점 및 바이어(contact and via), 양방향 접점(bi-level contact), 무경계 접점(borderless contact), 질화물 및 산화물 스페이서(nitride and oxide spacer), 그리고 부동태부(passivation)를 포함한다.
4520XLeTM같은 진보된 에칭 시스템은 동일한 시스템에서 여러 프로세스를 수행한다. 단일 시스템에서 여러 다른 반도체 제작 단계들을 수행함으로서, 웨이퍼 스루풋이 증가될 수 있다. 좀더 개량된 시스템들은 동일한 장비 내에서 추가적 단계들의 수행을 고려한다. 또한, 이에 한정되지 않는 예로서, Lam
Figure 112007008862072-pct00013
Research Corporation 사의 ExelanTM 시스템은 단일 장치에서 여러 프로세스 단계들을 실행할 수 있는 건식 에칭 시스템이다. ExelanTM은 하드마스크 오픈, 무기 및 유기 반사방지 코팅 (ARC) 에칭, 그리고 포토레지스트 스트립을 단일 챔버 내에서 "그 자리에서(in situ)" 실행할 수 있다. 이 시스템의 확장형 프로세스 포트폴리오는 0.18 미크론 이하의 환경에서 요구되는 저유전율 유전체와 도핑 및 도핑되지 않은 산화물에서의 부동태 에칭, 스페이서, 바이어, 접점, 등 모든 듀얼 다마신 구조물(dual damascene structures)을 포함한다. 물론, 여기서 열거된 원리들은 폭넓게 다양한 종류의 반도체 제작 시스템에서 구현될 수 있고, 이 원리들은 모든 이러한 대안들을 구체적으로 고려한다.
여기서 사용되는 "그 자리에서(in situ)"라는 용어는 반도체 제작 장비로부터 기판을 제거하지 않으면서 그 장비의 동일한 조각에서 주어진 기판, 가령, 실리콘 웨이퍼 상에 수행되는 한개 이상의 프로세스를 의미한다.
현재의 수많은 집적 회로 제작 기술들은 웨이퍼의 특징부 형성에 사용되는 패턴처리 단계들 중 한가지 이상에 이어지는 포토레지스트 스트리핑 단계(photoresist strip step)를 이용한다. 여러 포토레지스트들이 저유전율 유전체, 특히 SiLK같은 유기질 저유전율 유전체와 유사한 화학적 조성을 가지기 때문에, 웨이퍼의 특징부 에칭 중 우수한 프로파일 제어를 보장하기 위해 포토레지스트 아래에 하드 마스크가 사용되는 경우가 자주 있다.
하드 마스크층을 포함한 웨이퍼 적층구조 (stack) 의 일예가 도 1a에 도시된다. 패턴처리된 포토레지스트층(10)을 가지는 웨이퍼(1)가 도시된다. 본 예에서, 웨이퍼(1)는 실리콘 기판(22)을 포함하며, 실리콘 기판(22) 위에는 탄화규소나 질화규소 장벽층(20)이 증착된다. 장벽층(20) 위에는 가령 Dow Corning SilKTM같은 유기질 저유전율 유전체층(14)이 증착된다. 도시되지 않는 금속 구조물이 장벽층 아래에 형성될 수도 있다. 유기질 저유전율 유전층(14) 위에 하드 마스크층(12)이 증착되어, 예시적인 웨이퍼 적층구조를 완료한다. 하드 마스크는 SiO2, Si3N4, 또는 그 외 다른 하드 마스크 재료로 만들어질 수도 있다. 이전에 설명된 패턴처리된 포토레지스트층(10)은 하드 마스크(12) 위에 도포된다. 물론, 이러한 웨이퍼 적층구조는 한 예일 뿐이다.
도 1b를 참고하면, SiLK같은 유기질 저유전율 유전층(14, 18)의 에칭같은 에칭이 진행됨에 따라, 포토레지스트층(10)이 에칭되어 사라져, 아래의 하드마스크층(12)의 일부를 노출시킨다. 에칭이 진행됨에 따라, 하드마스크층의 이온 충돌은, 또한, 하드마스크층(12)의 일부를 에칭한다(도면부호 30 참조).그렇게 에칭된 하드 마스크 재료 중 일부는 웨이퍼 표면에, 예를 들어, 스퍼터링함으로써 재-증착되고 반응 챔버 표면에 재증착된다. 이 재료(32)의 적어도 일부가 에칭 중 에칭된 특징부의 하부에 추가적으로 증착된다(단면 A, 도면부호 36 참조). 마이크로마스킹의 양은 에칭 중 RF 전력이 증가할 때 커진다.
단면 "A"가 도 1c에서 확대되었다. 그 도면을 참조하면, 특징부(26)가 SiLK같은 유기질 저유전율 유전층(14)을 통해 에칭되는 것으로 도시된다. (도면부호 36)에서 재증착되는 하드마스크 재료가 하드 마스크 재료의 침상체(spicule)(34)를 형성하는 것이 현미경 사진에 나타난다. 이 재료의 시각적 외양은 "잔디(grass)"의 형태를 띠며, SiLK를 마이크로마스킹하는 스퍼터링된 하드마스크로서, "잔디(grass)"를 형성한다. 물론 마이크로마스크는 특징부의 에칭 속도를 저하시킬 뿐 아니라, 상기 특징부의 불규칙적인 에칭을 유발하여, 극단적인 경우에 매우 불량한 프로파일 제어 및 수율 (yield) 감소를 일으킬 수 있다.
따라서, 집적 회로의 특징부 에칭 중 임계 치수 제어 (CD; critical dmension)를 우수하게 유지하면서 상기 에칭 중 잔디 형성을 완화, 바람직하게는 제거하는 방법이 요구된다.
측벽 부동태화를 제공함으로서 에칭 중 프로파일 제어를 우수하게 제공할 수 있고, 따라서, 유기질 저유전율 재료의 측벽 에칭을 최소한으로 할 수 있는 프로세스가 또한 바람직하다. 방법은 유기질 저유전율 재료의 양성으로 슬로핑된 특징부에 대해 수직으로 인에이블 해야한다.
에칭 중 포토레지스트의 불필요한 부식을 감소시킬 수 있는 프로세스가 또한 바람직하다.
포토레지스트 제거로 인해 플라즈마에 노출될 때 하드마스크를 보호할 수 있는 프로세스가 또한 바람직하다.
폭넓은 범위의 에칭 플라즈마 밀도에 대하여 기능하는 방법이 또한 바람직하다.
또한, 웨이퍼 프로세스 및 전체 디바이스 품질을 용이하게 하기 위해, 잔류물이 없는 표면을 제공하는 방법이 바람직하다.
높은 웨이퍼 스루풋을 유지하기 위해, 웨이퍼를 형성하는데 이용되는 제작 장비내의 그 자리에서 수행될 수 있는 방법이 또한 바람직하다.
마지막으로, 기존의 집적 회로 제작 장비를 이용하여 이 장점들이 실현될 수 있다면 더욱 바람직할 것이다.
본 발명의 이러한 특징 및 다른 특징은, 다음의 도면과 함께 바람직한 실시예의 상세한 설명이라 명칭된 세션에서 더욱 상세하게 설명될 것이다.
(발명의 요약)
본 발명은 무기질 하드마스크 재료의 스퍼터링 속도와 포토레지스트 마스크의 에칭 속도를 감소시키기 위해 에칭 중 탄화플루오르(fluorocarbon)를 첨가하는 발명이다. 본 발명은 측벽보호를 제공할 수도 있고, CD 및 프로파일 제어를 제공할 수도 있으며, 높은 RF 전력이 사용될 때에도 잔류물 형성을 제거할 수도 있다.
적절한 탄화플루오르의 여러 소스가 본 발명의 실현에 적절한 것으로 식별되었다. 이들 소스들은 CH3F(methyl fluoride), CH2F2(difluoromethane), CHF3(triflluoromethane)를 포함하지만, 이에 구체적으로 한정되지는 않는다.
본 발명의 더 완전한 이해를 위해 다음의 바람직한 실시예의 상세한 설명에서 첨부한 도면을 참조한다.
도 1a는 에칭 전 포토레지스트의 패턴처리된 층이 도포된 테스트 웨이퍼의 단면도.
도 1b는 공지 기술의 에칭 단계에 이어지는 테스트 웨이퍼의 단면도.
도 1c는 도 1b의 단면 A의 확대 단면도.
도 2는 집적 회로 디바이스의 일부분인 유기질 저유전율 유전체를 에칭하는 프로세스의 개관 (overview) 순서도.
도 3a는 발명의 바람직한 실시예에서 에칭 이전 포토레지스트 패턴처리층을 도포한 테스트 웨이퍼의 단면도.
도 3b는 특징부 형성을 위해 최종 에천트 (etchant) 로 본 발명을 이용한 제 3 에칭 단계에 이어지는 테스트 웨이퍼의 단면도.
(바람직한 실시예의 상세한 설명)
참조 부호는 도면 전반에 걸쳐 동일 또는 동등한 발명의 부분을 칭한다.
본 발명은 저유전율 유전체, 특히 SiLK같은 유기질 유전체를 포함하는 웨이퍼의 폭넓게 다양한 특징부 크기 및 형태를 에칭하기 위해 탄화플루오르를 포함하는 시규한 에칭 기법을 소개한다. 여기에 소개된 이 방법은 에칭 중 스퍼터링되는 하드마스크 컴포넌트로부터 마이크로마스킹 형성을 제거한다. 이 방법으로 인해, RIE 래그(lag)가 최소한으로 줄고, 에칭 공정에 의해 형성되는 바이어 및 트렌치의 휨이 최소로 되며, 에칭 프로파일 및 포토레지스트 선택도가 우수하고, 에칭 속도 및 임계 치수 제어가 또한 우수하며, 웨이퍼 사이에서 우수한 에칭 균일성을 보인다.
SiLK같은 유기질 저유전율 유전층을 포함하는 웨이퍼에서 트렌치 및 바이어를 포함하지만, 이에 구체적으로 한정되지는 않는 다양한 특징부들을 에칭하기 위해, 본 발명은 에칭 중 탄화플루오르, 가령, CH3F를 부속물로 사용한다. 본 발명은 CH3F/H2/N2 에천트 가스, CH3F/NH3 에천트 가스, 또는 CH3F/O2/N2 에천트 가스를 제공할 수도 있다.
도 2를 참고하면, 본 발명의 프로세스(100)를 실현하기 위해, 에칭 플라즈마를 형성할 수 있는 반응 용기 내에 웨이퍼가 위치한다. 이 반응 용기 또는 챔버는 단일용도 에칭 장비의 품목일 수도 있고, 다용도 웨이퍼 공정 시스템일 수도 있다. 본 발명의 구현에 특히 매우 적합한 한가지 장비는 미국, 캘리포니아, Fremont 소재 Lam Research Corporation 사의 ExelanTM 건식 에칭 시스템이다. ExelanTM은 하드마스크 오픈, 무기질 및 유기질 ARC 에칭, 그리고 포토레지스트 스트립을 단일 챔버 내에서 "제자리에서(in situ)" 실행할 수 있다. 대안의 장비들도 물론 사용될 수도 있다.
패턴처리된 포토레지스트층을 이전에 갖고 있었던 웨이퍼가 챔버내에 배치되고(단계 102), 에칭 플라즈마가 단계 104에서 충돌한다. 에천트 가스가 챔버 내로 유입된다(단계 106). 에천트는 CH3F, CH2F2, CHF3 로 구성된 그룹으로부터 선택된 것을 포함하지만 이에 구체적으로 한정되지는 않는 한가지 이상의 탄화플루오르를 포함한다. 활성 에천트는 산소, 수소, 질소, 암모니아, 또는 그 외 특정 유전체 에칭에 효과적이라고 당 분야에 잘 알려진 다른 에천트를 포함할 수 있다.
요망 특징부가 완료되면(단계 108), 웨이퍼는 필요한 대로 다음의 공정에 들어갈 수 있다.
일부 바람직한 실시예들의 이어지는 논의사항이 단일 에칭 단계에 집중되고 있으나, 본 발명이 다단계 에칭 기법의 일부분으로 편리하게 구현될 수도 있음을 이해할 수 있을 것이다. 본 발명은 여기에 열거된 원리들을 포함하여 유전체 에칭 기법을 포함하는 모든 이러한 다단계 에칭 기법을 구체적으로 고려한다.
다음으로, 도 3a를 참고하면, 패턴처리된 포토레지스트층(10)을 가지는 예시적인 웨이퍼(1)가 도시된다. 본 예에서, 웨이퍼(1)는 실리콘 기판(22)을 포함하며, 그 실리콘 기판(22) 위에는 탄화규소나 질화규소 장벽층(20)이 증착된다. 장벽층(20) 위에는 Dow
Figure 112007008862072-pct00014
Chemical Company의 SiLKTM같은 유기질 저유전율 유전층(14)이 증착된다. 도시되지 않은 금속 구조물이 탄화규소나 질화규소와 같은 장벽층 아래에 형성될 수도 있다. 유기질 저유전율층(14) 위에는 SiO2 하드마스크층(12)이 증착되어 본 예의 웨이퍼 적층구조를 완료한다. 이전에 설명된 패턴처리된 포토레지스트층(10)은 하드마스크층(12) 위에 도포된다. 물론, 본 웨이퍼 적층 구조는 하나의 예일 뿐이다. 당업자에게 공지되어 있는 대안적인 구조물 및 필름은 대안적인 집적회로 설계를 구현하기 위해 이용될 수도 있다.
단계 102에서 반응 챔버 내에 웨이퍼가 배치된다. 단계 104에서 에칭 플라즈마가 충돌한다. 단계 106에서 탄화플루오르를 포함하는 에천트 가스가 이중-주파수 에칭 장비의 챔버 내로 유입되어, 에천트 가스로부터 플라즈마가 형성되도록 한다. 한 실시예에 따르면, 이 에천트 가스는 질소 N2, 수소 H2, 그리고 메틸플루오르 CH3F를 포함하는 혼합물이다. 물론 이 혼합물은 매우 특정한 애플리케이션이고, 탄화플루오르 첨가제를 가진 대안의 활성 에천트와 희석제들을 구현하는 대안의 에칭 단계들이 본 발명에 따른 탄화플루오르를 포함한 에칭 단계 전후로 사용될 수도 있다.
발명의 한 실시예에 따르면, 에칭 단계는 0~500mTorr 사이의 챔버 압력에서 수행되며, 10~250mTorr 범위가 선호되며, 20~160mTorr 범위가 더욱 선호되며, 가장 바람직한 범위는 약 130mTorr이다.
플라즈마의 고 (upper) 주파수 전력은 약 100~2500 W 범위이고, 선호되는 고주파수 전력 레벨은 약 250~1500 W이며, 보다 선호되는 범위는 약 500~1500 W이고, 가장 선호되는 범위는 약 1000W이다. 본 실시예에서, 27MHz 전원이 고주파수 전력 레벨 제공을 위해 사용된다.
저 (lower) 주파수 전력 레벨은 약 0~2500 W 범위로 설정되며, 선호되는 범위는 약 0~1000 W이다. 보다 선호되는 범위는 약 0~100W이며, 가장 선호되는 범위는 약 0W이다. 본 실시예에서, 2MHz 전원이 저주파수 전력 레벨 제공을 위해 사용된다.
에천트 가스의 혼합물은 구성요소 에칭 가스들의 유량들로 구성된다. 이들 중 H2의 유량은 약 3~1000 sccm이고, 선호되는 수소 유량은 약 25~500 sccm이며, 보다 선호되는 범위는 약 50~350 sccm이고, 가장 선호되는 유량은 약 250 sccm이다.
이 에천트 가스는 N2를 희석제로 또한 포함하며, 그 N2의 유량은 약 0~2500 sccm이며, 선호되는 범위는 약 50~1250 sccm, 보다 선호되는 범위는 약 100~1000 sccm, 가장 바람직한 유량은 약 750 sccm이다.
에천트는 약 0.5~50 sccm의 CH3F를 또한 포함하고, 그 CH3F의 유량의 선호범위는 약 1~30 sccm이며, 보다 선호되는 유량은 약 2~10 sccm, 가장 선호되는 유량은 약 3 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행될 수도 있다. 특히 약 5~50도가 선호되고, 보다 선호되는 온도 범위는 약 10~40도이며, 가장 바람직한 온도는 섭씨 약 40도이다.
선행하는 온도 제어를 달성하기 위해, 웨이퍼 온도는 반응 용기에 웨이퍼를 보지하는, 정전척(ESC)이라고도 불리는, 척을 통해 냉각 가스를 유입시킴으로서 열적으로 관리된다. 헬륨같은 이 냉각 가스 유량은 약 1~100 sccm이고, 선호되는 유량은 약 2~50 sccm이며, 보다 선호되는 범위는 약 10~40 sccm, 가장 바람직한 유량은 약 30 sccm이다. 요망 에칭 결과에 이를 때까지 에칭이 계속된다. 본 예에서, 에칭이 장벽(20)에 이를 때까지 에칭 단계가 진행된다(도 3b).
에칭 기법의 이 시점에서, 포토레지스트층(10)에 의해 형성된 특징부(24, 26)는 하드마스크층(12)과 유기질 저유전율 유전층(14)을 통해 에칭되었다. 이 특징부는 장벽층(20)에 도달할 때(도 3b) 완전히 에칭된다. 도 3b는 포토레지스트(10)의 제거 후 완료된 에칭을 또한 도시한다. 웨이퍼 적층구조는 이제 도핑, 패턴처리, 증착 단계 등 차후 공정을 수행할 준비가 된다(단계 110).
앞서 언급한 전력 레벨, 압력, 유량, 온도 등은 일례에 지나지 않는다. 웨이퍼 적층구조에서 두께를 달리하며 배치되는 여러 다른 유전 재료들이 전력, 압력, 유량, 온도, 그리고 그 외 다른 변수들의 여러 다른 조합을 요구할 수도 있다. 본 발명의 원리는 이러한 모든 조합을 구체적으로 고려한다.
이러한 조합 중 한가지는 본 발명의 대안의 실시예를 형성한다. 발명의 한 실시예에 따르면, 에칭 단계는 0~500 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 10~250mTorr이며, 보다 선호되는 압력은 20~160mTorr이고, 가장 바람직한 압력은 약 50mTorr이다.
플라즈마의 고주파수 전력 레벨은 약 250~2500 W이다. 선호되는 고주파수 전력 레벨은 약 250~1500 W이며, 보다 선호되는 범위는 약 300~750 W이고, 가장 선호되는 범위는 약 500W이다.
저주파수 전력 레벨은 약 250~2500 W 범위로 설정되며, 선호되는 범위는 약 250~1500 W이다. 보다 선호되는 범위는 약 300~750W이며, 가장 선호되는 범위는 약 500W이다.
에천트 가스의 혼합물은 구성요소 에칭 가스들의 유량들로 구성되는 것이 바람직하다. 이들 중 H2의 유량은 약 3~500 sccm이고, 선호되는 수소 유량은 약 25~500 sccm이며, 보다 선호되는 범위는 약 50~250 sccm이고, 가장 선호되는 유량은 약 100 sccm이다.
이 에천트 가스는 N2를 희석제로 또한 포함하며, 그 N2의 유량은 약 0~2500 sccm이며, 선호되는 범위는 약 50~1250 sccm, 보다 선호되는 범위는 약 100~500 sccm, 가장 바람직한 유량은 약 300 sccm이다.
에천트는 약 0.5~50 sccm의 CH3F를 또한 포함하고, 그 CH3F의 유량의 선호범위는 약 1~10 sccm이며, 보다 선호되는 유량은 약 2~7 sccm, 가장 선호되는 유량은 약 5 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행될 수도 있다. 특히 약 5~50도가 선호되고, 보다 선호되는 온도 범위는 약 10~40도이며, 가장 바람직한 온도는 섭씨 약 40도이다.
또한, 에칭 시간은 1초 미만에서부터 10분까지 변할 수도 있고 상황에 따라 유동적이다. 본 예에서, 가장 바람직한 전력 설정, 가스 유량, 그리고 온도에서, 에칭은 약 60초동안 실행되었다.
또한, 위의 온도 제어를 실현하기 위해, 웨이퍼 온도는 척을 통한 냉각 가스의 유입에 의해 열적으로 관리된다. 헬륨같은 이 냉각 가스 유량은 약 1~100 sccm이고, 선호되는 유량은 약 2~50 sccm이며, 보다 선호되는 범위는 약 10~40 sccm, 가장 바람직한 유량은 약 31.1 sccm이다.
발명의 또다른 실시예에서, 에칭 단계는 0~500 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 100~300 mTorr이며, 보다 선호되는 압력은 150~200 mTorr이고, 가장 바람직한 압력은 약 200 mTorr이다.
플라즈마의 고주파수 전력 레벨은 약 100~1500 W이다. 선호되는 고주파수 전력 레벨은 약 300~1000 W이며, 보다 선호되는 범위는 약 600~800 W이고, 가장 선호되는 범위는 약 700W이다.
저주파수 전력 레벨은 약 0~500 W 범위로 설정되며, 선호되는 범위는 약 0~250 W이다. 보다 선호되는 범위는 약 0~100W이며, 가장 선호되는 범위는 약 0W이다.
에천트 가스의 혼합물은 구성요소 에칭 가스들의 유량들로 구성되는 것이 바람직하다. 이들 중 암모니아 NH3의 유량은 약 100~3000 sccm이고, 선호되는 암모니아 유량은 약 500~2000 sccm이며, 보다 선호되는 범위는 약 750~1500 sccm이고, 가장 선호되는 유량은 약 1000 sccm이다.
에천트는 약 0.5~50 sccm의 CH3F를 또한 포함하고, 그 CH3F의 유량의 선호범위는 약 1~10 sccm이며, 보다 선호되는 유량은 약 2~7 sccm, 가장 선호되는 유량은 약 5 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 약 5~50도가 선호되고, 보다 선호되는 온도 범위는 약 10~40도이며, 가장 바람직한 온도는 섭씨 약 20도이다.
발명의 또다른 실시예에서, 에칭 단계는 0~300 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 20~200 mTorr이며, 보다 선호되는 압력은 50~150 mTorr이고, 가장 바람직한 압력은 약 90 mTorr이다.
플라즈마의 고주파수 전력 레벨은 약 100~1500 W이다. 선호되는 고주파수 전력 레벨은 약 300~1000 W이며, 보다 선호되는 범위는 약 400~600 W이고, 가장 선호되는 범위는 약 500W이다.
저주파수 전력 레벨은 약 0~500 W 범위로 설정되며, 선호되는 범위는 약 100~300 W이다. 보다 선호되는 범위는 약 150~250W이며, 가장 선호되는 범위는 약 200W이다.
에천트 가스의 혼합물은 구성요소 에칭 가스들의 유량들로 구성되는 것이 바람직하다. 이들 중 암모니아 NH3의 유량은 약 100~2000 sccm이고, 선호되는 암모니아 유량은 약 200~1000 sccm이며, 보다 선호되는 범위는 약 400~600 sccm이고, 가장 선호되는 유량은 약 500 sccm이다.
에천트는 약 0.5~70 sccm의 CH3F를 또한 포함하고, 그 CH3F의 유량의 선호범위는 약 3~30 sccm이며, 보다 선호되는 유량은 약 6~15 sccm, 가장 선호되는 유량은 약 10 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 약 5~50도가 선호되고, 보다 선호되는 온도 범위는 약 10~40도이며, 가장 바람직한 온도는 섭씨 약 20도이다.
또다른 실시예에 따르면, 에칭 단계는 0~300 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 50~200mTorr이며, 보다 선호되는 압력은 100~150mTorr이고, 가장 바람직한 압력은 약 120 mTorr이다.
플라즈마의 고주파수 전력 레벨은 약 100~1500 W이다. 선호되는 고주파수 전력 레벨은 약 300~1000 W이며, 보다 선호되는 범위는 약 400~600 W이고, 가장 선호되는 범위는 약 500W이다.
저주파수 전력 레벨은 약 100~1500 W 범위로 설정되며, 선호되는 범위는 약 300~1000 W이다. 보다 선호되는 범위는 약 400~600W이며, 가장 선호되는 범위는 약 500W이다.
이러한 에천트 가스는 희석제로 아르곤 Ar을 또한 포함하며, 그 Ar의 유량은 약 0~800 sccm이고, 선호되는 Ar 유량은 약 200~600 sccm이며, 보다 선호되는 범위는 약 300~500 sccm이고, 가장 선호되는 유량은 약 400 sccm이다.
이 에천트 가스는 희석제로 N2를 또한 포함하며, 그 N2의 유량은 약 0~300 sccm이며, 선호되는 범위는 약 50~200 sccm, 보다 선호되는 범위는 약 75~150 sccm, 가장 바람직한 유량은 약 100 sccm이다.
에천트 가스의 혼합물은 구성요소 에칭 가스들의 유량으로 구성되는 것이 바람직하다. 이 중 산소 O2는 약 0~100 sccm의 유량 범위를 가지며, 선호되는 유량은 약 2~50 sccm, 더욱 선호되는 범위는 약 3~15 sccm, 가장 선호되는 유량은 약 6 sccm이다.
에천트는 약 0.5~50 sccm의 CH3F를 또한 포함하고, 그 CH3F의 유량의 선호범위는 약 1~10 sccm이며, 보다 선호되는 유량은 약 2~7 sccm, 가장 선호되는 유량은 약 5 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 약 5~50도가 선호되고, 보다 선호되는 온도 범위는 약 10~40도이며, 가장 바람직한 온도는 섭씨 약 20도이다.
또다른 실시예에 따르면, 에칭 단계는 0~400 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 100~300mTorr이며, 보다 선호되는 압력은 150~250mTorr이고, 가장 바람직한 압력은 약 200 mTorr이다.
플라즈마의 고주파수 전력 레벨은 약 100~3000 W이다. 선호되는 고주파수 전력 레벨은 약 500~2000 W이며, 보다 선호되는 범위는 약 750~1500 W이고, 가장 선호되는 범위는 약 1000W이다.
저주파수 전력 레벨은 약 0~300 W 범위로 설정되며, 선호되는 범위는 약 0~200 W이다. 보다 선호되는 범위는 약 0~100W이며, 가장 선호되는 범위는 약 0W이다.
이러한 에천트 가스는 희석제로 헬륨을 또한 포함하며,그 He의 유량은 약 0~3000 sccm이고, 선호되는 He 유량은 약 600~2000 sccm이며, 보다 선호되는 범위는 약 900~1500 sccm이고, 가장 선호되는 유량은 약 1200 sccm이다.
에천트 가스의 혼합물은 구성요소 에칭 가스들의 유량으로 구성되는 것이 바람직하다. 이 중 산소 O2는 약 0~100 sccm의 유량 범위를 가지며, 선호되는 유량은 약 2~50 sccm, 더욱 선호되는 범위는 약 3~15 sccm, 가장 선호되는 유량은 약 6 sccm이다.
에천트는 약 0.5~30 sccm의 CH3F를 또한 포함하고, 그 CH3F의 유량의 선호범위는 약 1~30 sccm이며, 보다 선호되는 유량은 약 2~7 sccm, 가장 선호되는 유량은 약 3 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 약 5~50도가 선호되고, 보다 선호되는 온도 범위는 약 10~40도이며, 가장 바람직한 온도는 섭씨 약 20도이다.
프로세스(100)는 여러 신규한 장점들을 가진다. 먼저, 에칭 속도를 저하시키는 앞서 언급한 마이크로마스킹 효과없이 에칭이 신속하게 진행된다. 앞서 언급한 실시예들 각각에 대한 에칭 속도는 명시된 조건하에서 일반적으로 3000 옹스트롬/분 이상이다. 물론, 여러 다른 프로세스 매개변수들로 실행되거나 여러 다른 두께를 가지는 여러 다른 재료로 동작하는 대안의 실시예들에서 에칭 속도가 더 클 수도 있고 더 작을 수도 있다.
두 번째 장점은 본 발명의 방법에 의한 프로파일 제어 정도가 괄목할만하다 는 점이다.
본 발명의 테스트 중 나타난 한가지 장점은 탄화플루오르를 포함한 에칭을 실시하였을 때 휨 효과가 크게 감소하였다는 점이다. 에칭 중, 탄화플루오르는 플루오르와 탄화수소종으로 해리된다. 또한, 가령 바이어 및 트렌치의 측벽처럼 이온 충돌이 불충분한 곳에서, 해리된 =CH- 군은 SiLK와 반응하여, 3차원 매트릭스로 배열되는 (=CH-N)=기를 구성하는 폴리머를 형성한다. 결과적인 HCN 폴리머는 CFx 폴리머와 함께 직접 CH3F를 해리시키고, 이는 측벽을 부동태화하여 프로파일 휨을 방지한다. 또한, 이 폴리머는 하드마스크 위에 증착되고, 이는 하드마스크의 스퍼터링 양을 감소시킨다. 플루오르 종은 스퍼터링된 하드마스크 성분과 조합하여, 휘발성 화합물을 형성하고, 따라서 펌핑되어 빠져나가며, 따라서, 마이크로마스킹 효과를 제거하거나 크게 감소시킬 수 있다.
본 발명의 구체적 특징은 특징부 크기가 폭넓게 변할 수 있도록 특징부를 형성하면서, 프로파일 제어가 우수하고 RIE 래그가 최소한이면서, 에칭 프로세스에 의해 형성되는 바이어의 휨도 최소한이고, 에칭 프로파일 및 포토레지스트 선택도가 우수하고, 웨이퍼에서의 에칭 균일성이 우수한 효과를 보이는 신규한 능력에 있다.
앞서 논의한 전력 레벨, 압력, 유량, 온도는 한 예일 뿐이다. 웨이퍼 적층 구조에서 두께를 달리하면서 배치되는 여러 다른 유전체 재료들이 전력, 압력, 유량, 및 온도의 여러 다른 조합을 요구할 수도 있다. 본 발명의 원리는 이러한 모든 조합들을 구체적으로 고려한다.
본 발명은, 본 발명의 일정 바람직한 실시예에 관해 특히 도시되고 설명되어 왔다. 그러나, 청구항에서, 형태 및 세부사항에서의 변화 및 변경이 전술한 발명의 범위 및 사상을 벗어나지 않고 행해질 수도 있다는 것은 당업자에게 용이하게 명백할 것이다. 특히, 본 발명의 원리는, 다수의 서로 다른 칭에 의해 형성된 다양한 적층구조 구성이 형성된 폭넓게 다양한 집적회로 디바이스상에서 하나 이상의 다양한 특징 및 장점의 통합을 구체적으로 고려한다. 물론, 이전에 논의된 프로세스 변수는, 서로 다른 집적회로 디바이스에 영향을 주기 위해 당업자에 의해 변형될 수도 있다. 이들 대안물의 각각은 본 발명의 원리에 의해 구체적으로 고려된다.

Claims (18)

  1. 하드마스크 아래에 부분적으로 증착된 하나 이상의 저유전율 (low-k) 유전층을 포함하는 집적 회로 웨이퍼의 특징부 (feature) 를 에칭하는 방법으로서,
    반응 챔버내에 상기 웨이퍼를 배치하는 단계;
    CH3F 및 활성 에천트를 포함하는 에천트 가스 (etchant gas) 를 상기 반응 챔버에 유입시키는 단계로서, 상기 CH3F의 유량 대 상기 에천트 가스의 총 유량의 유량비는 0.25% 와 2% 사이인, 상기 유입 단계;
    상기 반응 챔버내에서 상기 에천트 가스로부터 플라즈마를 형성하는 단계;
    상기 저 유전율 유전층의 적어도 일부분의 상기 특징부를 상기 활성 에천트로 에칭하는 단계;
    상기 하드마스크의 일부를 상기 활성 에천트로 스퍼터링하는 단계; 및
    마이크로마스킹을 감소시키기 위해, 스퍼터링된 하드마스크 및 플루오르로부터의 휘발성 화합물을 형성하는 단계를 포함하는, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 활성 에천트는, 수소 및 암모니아로 구성된 그룹으로부터 선택되는, 에칭 방법.
  3. 제 1 항에 있어서,
    상기 활성 에천트는, 100sccm 내지 3000sccm의 유량을 갖는 암모니아인, 에칭 방법.
  4. 제 3 항에 있어서,
    상기 저유전율 유전층은 실리콘-프리 (silicon-free) 저유전율 유전층인, 에칭 방법.
  5. 제 3 항에 있어서,
    상기 저유전율 유전층은 실리콘-프리 벤조시클로부텐 (benzocyclobutene) 저유전율 유전층인, 에칭 방법.
  6. 제 1 항에 있어서,
    상기 활성 에천트는 질소 및 수소인, 에칭 방법.
  7. 제 6 항에 있어서,
    상기 질소의 유량은 50sccm 내지 1250sccm 사이이고, 상기 수소의 유량은 25sccm 내지 500sccm인, 에칭 방법.
  8. 제 1 항에 있어서,
    하드마스크 스퍼터링을 감소시키기 위해, 상기 하드마스크위에 상기 CH3F로부터 폴리머 (polymer) 를 증착하는 단계를 더 포함하는, 에칭 방법.
  9. 제 8 항에 있어서,
    프로파일 휨을 감소시키기 위해, 상기 특징부의 측벽위에 상기 CH3F로부터 폴리머를 증착하는 단계를 더 포함하는, 에칭 방법.
  10. 제 1 항에 있어서,
    상기 저유전율 유전층은 실리콘-프리 저유전율 유전층인, 에칭 방법.
  11. 제 1 항에 있어서,
    상기 저유전율 유전층은 실리콘-프리 벤조시클로부텐 저유전율 유전층인, 에칭 방법.
  12. 제 1 항에 있어서,
    프로파일 휨을 감소시키기 위해, 상기 특징부의 측벽위에 탄화플루오르 (fluorocarbon) 로부터 폴리머를 증착하는 단계를 더 포함하는, 에칭 방법.
  13. 제 1 항에 있어서,
    상기 하드마스크의 오프닝 (opening) 을 상기 활성 에천트로 에칭하는 단계를 더 포함하며,
    상기 하드마스크 일부의 스퍼터링 단계는 상기 하드마스크의 오프닝의 에칭 단계 동안 발생하고, 상기 휘발성 화합물의 형성 단계는 상기 하드마스크의 오프닝의 에칭 단계 동안 발생하고, 상기 활성 에천트는 상기 하드마스크 오프닝을 에칭하며, 상기 활성 에천트는 수소 및 암모니아로 구성된 그룹으로부터 선택되는, 에칭 방법.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
KR1020037010572A 2001-02-12 2002-01-29 유기질 저유전율 재료의 에칭 방법 KR100880131B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/782,185 US6841483B2 (en) 2001-02-12 2001-02-12 Unique process chemistry for etching organic low-k materials
US09/782,185 2001-02-12
PCT/US2002/002926 WO2002065512A2 (en) 2001-02-12 2002-01-29 Process for etching organic low-k materials

Publications (2)

Publication Number Publication Date
KR20030086998A KR20030086998A (ko) 2003-11-12
KR100880131B1 true KR100880131B1 (ko) 2009-01-23

Family

ID=25125261

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037010572A KR100880131B1 (ko) 2001-02-12 2002-01-29 유기질 저유전율 재료의 에칭 방법

Country Status (6)

Country Link
US (1) US6841483B2 (ko)
KR (1) KR100880131B1 (ko)
CN (1) CN1524287B (ko)
AU (1) AU2002240212A1 (ko)
TW (1) TW558768B (ko)
WO (1) WO2002065512A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013151811A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
WO2004095551A1 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US7517801B1 (en) 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
JP5297615B2 (ja) * 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
CN102832118B (zh) * 2012-09-11 2015-02-18 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US10872788B2 (en) * 2018-11-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etch apparatus and method for using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936089A (ja) * 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6245663B1 (en) 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6797633B2 (en) 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936089A (ja) * 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Transformer coupled plasma dielectric etch for 0.25 ㎛ technologies" MICROELECTRONIC ENGINEERING, vol. 50, Issues 1-4, January 2000, Pages 75-80

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013151811A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist

Also Published As

Publication number Publication date
AU2002240212A1 (en) 2002-08-28
WO2002065512A2 (en) 2002-08-22
WO2002065512A3 (en) 2003-03-13
US20020111036A1 (en) 2002-08-15
KR20030086998A (ko) 2003-11-12
US6841483B2 (en) 2005-01-11
TW558768B (en) 2003-10-21
CN1524287A (zh) 2004-08-25
CN1524287B (zh) 2010-09-01

Similar Documents

Publication Publication Date Title
KR100880131B1 (ko) 유기질 저유전율 재료의 에칭 방법
KR100854609B1 (ko) 피쳐 에칭 방법
KR100887911B1 (ko) 암모니아를 이용한 저유전율 유기 유전체 에칭 방법
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6777344B2 (en) Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6686295B2 (en) Anisotropic etch method
US6440863B1 (en) Plasma etch method for forming patterned oxygen containing plasma etchable layer
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
WO2000003432A1 (en) Plasma etch process of a dielectric multilayer structure particularly useful for dual damascene
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US5271799A (en) Anisotropic etch method
US7192531B1 (en) In-situ plug fill
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
Gorowitz et al. Reactive ion etching
Labelle et al. Metal stack etching using a helical resonator plasma
US6399509B1 (en) Defects reduction for a metal etcher
WO2000026954A1 (en) Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
JP2002367924A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130108

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150106

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160108

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee