KR20030086998A - 유기질 저유전율 물질 에칭을 위한 독자적 방법 - Google Patents

유기질 저유전율 물질 에칭을 위한 독자적 방법 Download PDF

Info

Publication number
KR20030086998A
KR20030086998A KR10-2003-7010572A KR20037010572A KR20030086998A KR 20030086998 A KR20030086998 A KR 20030086998A KR 20037010572 A KR20037010572 A KR 20037010572A KR 20030086998 A KR20030086998 A KR 20030086998A
Authority
KR
South Korea
Prior art keywords
gas
sccm
etching
preferred
wafer
Prior art date
Application number
KR10-2003-7010572A
Other languages
English (en)
Other versions
KR100880131B1 (ko
Inventor
헬렌에이치. 주
제임스알. 바워스
이안제이. 모리
웨인 베이비
마이클 고스
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20030086998A publication Critical patent/KR20030086998A/ko
Application granted granted Critical
Publication of KR100880131B1 publication Critical patent/KR100880131B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

마이크로마스킹 효과를 최소화하면서 집적 회로 웨이퍼의 특징부를 에칭하는 방법이 소개된다. 이 방법은 탄화플루오르 기체를 포함한 에칭제 기체를 웨이퍼에 유입시키고, 에칭제 기체를 이용하여 웨이퍼 부근에 플라즈마를 형성하도록 한다. 플라즈마는 웨이퍼 특징부 일부분을 에칭하는 데 사용된다. 탄화플루오르를 플루오르와 탄화수소 종으로 해리하는 것은 두가지 기능을 실행한다. 플루오르 종은 에칭중 에칭된 특징부의 바닥에 스퍼터링된 하드마스크 요소가 증착되는 것을 방지하거나 크게 감소시킨다. 탄화수소 종은 특징부 측벽에 부동태층을 형성하는 작용을 한다.

Description

유기질 저유전율 물질 에칭을 위한 독자적 방법{UNIQUE PROCESS CHEMISTRY FOR ETCHING ORGANIC LOW-K MATERIALS}
집적 회로는 반도체 구조물의 여러 층 위의 전도 라인들을 절연시키기 위해 이산화규소(SiO2)로부터 형성되는 유전층들을 이용한다. 반도체 회로가 점점 고속화되고 소형화됨에 따라, 동작 주파수가 증가하고 반도체 소자 내 전도 라인간 거리가 감소하고 있다. 이로 인해, 회로에 대한 결합 커패시턴스가 증가하며, 이는 반도체 소자의 동작 속도를 저하시키는 단점을 보인다. 따라서, 이러한 결합 커패시턴스 증가에 대하여 전도 라인들을 효과적으로 절연시킬 수 있는 유전층들을 이용하는 것이 중요해지고 있다.
일반적으로, 집적 회로의 결합 커패시턴스는 유전층을 형성하는 데 사용되는 물질의 유전율 k에 정비례한다. 상술한 바와 같이, 기존 집적 회로의 유전층들은 통상적으로 SiO2로 만들어지며, 그 유전율은 4.0이다. 반도체 소자에서 선밀도와 동작 주파수를 증가시킨 결과, SiO2로 만들어지는 유전층들은 결합 커패시턴스 증가를방지하기 위해 요구되는 요건까지 전도 라인을 효과적으로 절연시키지 못할 수 있다.
집적 회로에서 결합 커패시턴스 크기를 감소시키려는 노력에서, 반도체 산업은 SiO2보다 더 낮은 유전율을 가지는 물질들을 개발하려고 시도하고 있고, 이 물질들은 물론 집적 회로의 유전층 형성에 사용하기 적합하여야 할 것이다. 오늘날까지, 저유전율 물질이라 불리는 수많은 물질들이 제시되었다. 이 새로운 유전체 중 한가지가 유기화합물이다. 명세서 및 청구범위에서 저유전율 물질은 유전상수 k가 3보다 작은 물질로 정의된다.
저유전율 물질은 벤조시클로부텐(BCB)(1), 미국, 미네소타, Minneapolis 소재 Honeywell, Inc.의 자회사인 뉴저지, Morristown 소재 Allied Signal 사가 제작한 Flare(2), 미국, 코네티컷, Danbury 소재 Union Carbide Corporation 사의 Parylene dimers(3), 폴리테트라플루로에틸렌(PTFE)(4), 그리고 SiLK(5)를 포함한다. 그러나 이에 한정되지는 않는다. 집적 회로 유전체로 사용하기 적합한 한가지 PTFE는 미국, 델라웨어, Newark 소재 W.L.Gore&Associates,Inc. 사의 SPEEDFILM이 있고, 미국, 미시간, Midland 소재 Dow Chemical Company 사의 SiLK는 실리콘이 없는 BCB이다.
반도체 웨이퍼 처리 중, 반도체 소자의 특징부들은 공지된 패턴처리 및 에칭 절차를 이용하여 웨이퍼에 형성된다. 이 공정들에서, 포토레지스트(PR) 물질이 웨이퍼 상에 증착되고 레티클(reticle)에 의해 필터링되도록 노출된다. 이 레티클은일례의 특징 형태들을 가지며 패턴처리되는 글래스판으로서, 레티클을 통한 광의 투과를 차단시킨다.
레티클 투과 후, 광은 포토레지스트 물질의 표면과 접촉한다. 광은 포토레지스트 물질의 화학적 조성을 변화시켜서, 현상액(developer)이 포토레지스트 물질의 일부를 제거할 수 있도록 한다. 양성 포토레지스트 물질의 경우 노출된 영역들이 제거되며, 음성 포토레지스트 물질의 경우 노출되지 않은 영역들이 제거된다. 그후 웨이퍼는 더 이상 포토레지스트 물질에 의해 보호되지 않은 영역으로부터 하부 물질을 제거하도록 에칭되며, 따라서 웨이퍼에 요망 특징부들을 형성한다. 저유전율 유기질 폴리머는 일반적으로 산화(가령, 산소-기반)나 환원(가령, 수소-기반) 화학 처리에 의해 에칭될 수 있다. OSG 유전체는 산화물 에칭 화학물질과 일부 유사한 화학물질을 이용하여 에칭되는 것이 바람직할 수 있다.
유전체의 에칭은 DFC(dual-frequency capacitively-coupled) 유전체 에칭 시스템에서 실현되는 것이 바람직할 수 있다. 이러한 시스템 중 한가지로, 미국, 캘리포니아, Fremont 소재 Lam Research Corporation 사의 Lam Research model 4520 XLe와 Exelan HP가 있다. 4520 XLe 시스템은 매우 포괄적인 유전체 에칭 포트폴리오를 처리한다. 이 절차는 접점 및 바이어(contacts and vias), 양방향 접점(bi-level contacts), 무경계 접점(borderless contacts), 질화물 및 산화물 스페이서(nitride and oxide spacers), 그리고 부동태부(passivation)를 포함한다.
4520XLe같은 진보된 에칭 시스템은 동일한 시스템에서 여러 처리과정을 수행한다. 단일 시스템에서 여러 다른 반도체 제작 단계들을 수행함으로서, 웨이퍼 생산성이 증가될 수 있다. 좀더 개량된 시스템들은 동일한 장비 내에서 추가적 단계들을 수행할 수 있다. 또다시 예를 들자면, Lam Research Corporation 사의 Exelan 시스템은 단일 장치에서 여러 프로세스 단계들을 실행할 수 있는 건식 에칭 시스템이다. Exelan은 하드마스크 오픈, 무기 및 유기 반사방지 코팅 에칭, 그리고 포토레지스트 스트립을 단일 챔버 내에서 "그 자리에서(in situ)" 실행할 수 있다. 이 시스템의 확장형 프로세스 포트폴리오는 0.18 미크론 이하의 환경에서 요구되는 저유전율 유전체와 도핑 및 도핑되지 않은 산화물에서의 부동태 에칭, 스페이서, 바이어, 접점, 등 모든 듀얼 다마신 구조물(dual damascene structures)을 포함한다. 물론, 여기서 열거된 원리들은 다양한 종류의 반도체 제작 시스템에서 구현될 수 있고, 이 원리들은 모든 이러한 대안들을 구체적으로 고려한다.
여기서 사용되는 "그 자리에서(in situ)"라는 용어는 반도체 제작 장비로부터 기판을 제거하지 않으면서 제작 장비의 동일한 조각에서 주어진 기판, 가령, 실리콘 웨이퍼 상에 수행되는 한개 이상의 프로세스를 의미한다.
현재의 수많은 집적 회로 제작 기술들은 웨이퍼의 특징부 형성에 사용되는 패턴처리 단계들 중 한가지 이상에 이어지는 포토레지스트 제거 단계(photoresist strip step)를 이용한다. 여러 포토레지스트들이 저유전율 유전체, 특히 SiLK같은 유기질 저유전율 유전체와 유사한 화학적 조성을 가지기 때문에, 웨이퍼의 특징부 에칭 중 우수한 치수 제어를 보장하기 위해 포토레지스트 아래에 하드 마스크가 사용되는 경우가 자주 있다.
하드 마스크층을 포함한 웨이퍼 적층구조의 예가 도 1A에 도시된다. 패턴처리된 포토레지스트층(10)을 가지는 웨이퍼(1)가 도시된다. 본 예에서, 웨이퍼(1)는 실리콘 기판(22)을 포함하며, 실리콘 기판(22) 위에는 탄화규소나 질화규소 장벽층(20)이 증착된다. 장벽층(20) 위에는 가령 Dow Corning SiLK같은 유기질 저유전율 유전체층(14)이 증착된다. 도시되지 않는 금속층이 장벽층 아래에 형성될 수 있다. 유기절 저유전율 유전층(14) 위에 하드 마스크층(12)이 증착되어, 웨이퍼 적층구조를 완료한다. 하드 마스크는 SiO2, Si3N4, 또는 그 외 다른 하드 마스크 물질로 만들어질 수 있다. 패턴처리된 포토레지스트층(10)은 하드 마스크(12) 위에 공급된다. 물론, 이러한 웨이퍼 적층구조는 한 예일 뿐이다.
도 1B를 참고해보자. SiLK같은 유기질 저유전율 유전층(14, 18)의 에칭같은 에칭이 진행됨에 따라, 포토레지스트층(10)이 에칭되어 사라져, 아래의 하드마스크층(12)의 일부를 노출시킨다. 에칭이 진행됨에 따라, 하드마스크층의 이온 충돌이 하드마스크층(12)의 일부를 스퍼터링하여 날려버린다(30 참조). 스퍼터링되는 하드 마스크 물질 중 일부는 웨이퍼 표면에, 그리고 반응 챔버 전체에 다시 증착된다. 이 물질(32)의 일부가 에칭 중 에칭된 특징부의 하부에 다시 증착된다(단면 A, 36 참조). 마이크로마스킹 크기는 에칭 중 RF 전력이 증가할 때 커진다.
단면 A가 도 1C에서 확대되었다. 도면을 보면, 특징부(26)가 SiLK같은 유기질 저유전율층(14)을 통해 에칭되는 것으로 도시된다. (36)에서 재증착되는 하드마스크 물질이 하드 마스크 물질의 침상체(spicules)(34)를 형성하는 것이 현미경 사진에 나타난다. 이 물질의 시각적 외양은 "잔디(grass)"의 형태를 띠며, SiLK를 마이크로마스킹하는 것이 스퍼터링된 하드마스크로서, "잔디(grass)"를 형성한다. 물론 마이크로마스크가 특징부의 에칭 속도를 저하시킬 뿐 아니라, 상기 특징부의 불규칙적인 에칭을 유발하여, 극단적인 경우에 매우 불량한 프로파일 제어 및 생산성 감소를 일으킬 수 있다.
따라서, 집적 회로의 특징부 에칭 중 임계 치수 제어를 우수하게 유지하면서 상기 에칭 중 잔디 형성을 완화시키고 제거하는 방법이 요구된다.
측벽 부동태화를 제공함으로서 에칭 중 프로파일 제어를 우수하게 제공할 수 있고, 따라서, 유기질 저유전율 물질의 측벽 에칭을 최소한으로 할 수 있는 공정이 또한 바람직하다.
에칭 중 포토레지스트의 불필요한 부식을 감소시킬 수 있는 공정이 또한 필요하다.
포토레지스트 제거로 인해 플라즈마에 노출될 때 하드마스크를 보호할 수 있는 공정이 또한 바람직하다.
폭넓은 범위의 에칭 플라즈마 밀도에 대하여 기능하는 방법이 또한 요구된다.
웨이퍼 공정 및 전체 소자 품질의 촉진을 위해, 잔류물이 없는 표면을 제공하는 것이 바람직하다.
마지막으로, 기존의 집적 회로 제작 장비를 이용하여 이 장점들이 실현될 수 있다면 더욱 바람직할 것이다.
본 발명은 반도체 제작에 관한 것이다. 특히 본 발명은 반도체 웨이퍼의 유기질 저유전율 물질의 에칭에 관한 것이다.
도 1A는 에칭 전 웨이퍼의 단면도.
도 1B는 공지 기술의 에칭 방법을 이용한 에칭 중 도 1A에 도시되는 웨이퍼의 단면도.
도 1C는 도 1B의 단면 A의 확대 단면도.
도 2는 집적 회로 장치의 일부분으로 유기질 저유전율 유전층을 에칭하는 공정의 순서도.
도 3a는 발명의 선호되는 실시예에서 에칭 이전 포토레지스트 패턴처리층을 공급한 테스트 웨이퍼의 단면도.
도 3b는 특징부 형성을 위해 최종 에칭제로 본 발명을 이용한 제 3 에칭 단계에 이어지는 테스트 웨이퍼의 단면도.
본 발명은 무기질 하드마스크 물질의 스퍼터링 속도와 포토레지스트 마스크의 에칭 속도를 감소시키기 위해 에칭 중 탄화플루오르를 첨가하는 발명이다. 본 발명은 임계 치수 및 프로파일 제어가 우수하며, 높은 RF 전력이 사용될 때에도 잔류물 형성을 제거할 수 있다.
적절한 탄화플루오르(fluorocarbon)의 여러 소스가 본 발명의 실현에 적절한 것으로 식별되었다. 그 예로는 CH3F(methyl fluoride), CH2F2(difluoromethane), CHF3(triflluoromethane)가 있다.
본 발명은 저유전율 유전체, 특히 SiLK같은 유기질 유전체를 포함하는 웨이퍼의 특징부 크기 및 형태를 다양하게 에칭하기 위해 탄화플루오르를 포함하는 시규한 에칭 기법을 소개한다. 이 방법은 에칭 중 스퍼터링되는 하드마스크 성분으로부터의 마이크로마스킹 형성을 제거한다. 이 방법으로 인해, RIE 래그가 최소한으로 줄고, 에칭 공정에 의해 형성되는 바이어 및 트렌치의 휨이 최소로 되며, 에칭 프로파일 및 포토레지스트 선택도가 우수하고, 에칭 속도 및 임계 치수 제어가 또한 우수하며, 웨이퍼 사이에서 우수한 에칭 균일성을 보인다.
SiLK같은 유기질 저유전율층을 포함하는 웨이퍼에서 트렌치 및 바이어를 포함하는 다양한 특징부들을 에칭하기 위해, 본 발명은 에칭 중 탄화플루오르, 가령, CH3F를 부속물로 사용한다. 본 발명은 CH3F/H2/N2에칭제 기체, CH3F/NH3에칭제 기체, 또는 CH3F/O2/N2에칭제 기체를 제공할 수도 있다.
도 2를 참고해보자. 본 발명의 공정(100)을 실현하기 위해, 에칭 플라즈마를 형성할 수 있는 반응 용기 내에 웨이퍼가 위치한다. 이 반응 용기 또는 챔버는 단일용도 에칭 장비의 품목일 수도 있고, 다용도 웨이퍼 공정 시스템일 수도 있다. 본 발명의 구현에 적합한 한가지 장비는 미국, 캘리포니아, Fremont 소재 Lam Research Corporation 사의 Exelan 건식 에칭 시스템이다. Exelan은 하드마스크 오픈, 무기질 및 유기질 반사방지코팅 에칭, 그리고 포토레지스트 스트립을 단일 챔버 내에서 "제자리에서(in situ)" 실행할 수 있다. 대안의 장비들도 물론 사용될 수 있다.
패턴처리된 포토레지스트층이 위쪽에 놓인 웨이퍼가 챔버 내에 배치되고(단계 102), 에칭 플라즈마가 단계 104에서 충돌한다. 에칭제 기체가 챔버 내로 유입된다(단계 106). 에칭제는 CH3F, CH2F2, CHF3중에서 선택되는 한가지 이상의 탄화플루오르를 포함한다. 활성 에칭제는 산소, 수소, 질소, 암모니아, 또는 그 외 특정 유전체 에칭에 효과적이라고 당 분야에 잘 알려진 다른 에칭제를 포함할 수 있다.
요망 특징부가 완료되면(단계 108), 웨이퍼는 필요한 대로 다음의 공정에 들어갈 수 있다.
일부 선호되는 실시예들의 이어지는 논의사항이 단일 에칭 단계에 집중되고 있으나, 본 발명이 다단계 에칭 기법의 일부분으로 편리하게 구현될 수 있음을 이해할 수 있을 것이다. 본 발명은 유전체 에칭 기법을 포함하는 모든 이러한 다단계 에칭 기법을 구체적으로 고려한다.
도 3a를 참고해보자. 패턴처리된 포토레지스트층(10)을 가지는 웨이퍼(1)가 도시된다. 본 예에서, 웨이퍼(1)는 실리콘 기판(22)을 포함하며, 실리콘 기판(22) 위에는 탄화규소나 질화규소 장벽층(20)이 증착된다. 장벽층(20) 위에는 Dow Chemical Company의 SiLK같은 유기질 저유전율층(14)이 증착된다. 도시되지 않은 금속층이 장벽층 아래에 형성될 수 있다. 유기질 저유전율층(14) 위에는 SiO2 하드마스크층(12)이 증착되어 본 예의 웨이퍼 적층구조를 완료한다. 패턴처리된 포토레지스트층(10)이 하드마스크층(12) 위에 놓인다. 물론, 본 웨이퍼 적층 구조는 하나의 예일 뿐이다.
단계 102에서 반응 챔버 내에 웨이퍼가 배치된다. 단계 104에서 에칭 플라즈마가 충돌한다. 단계 106에서 탄화플루오르를 포함하는 에칭제 기체가 이중-주파수 에칭 장비의 반응 챔버 내로 유입되어, 에칭제 기체로부터 플라즈마가 형성되도록 한다. 한 실시예에 따르면, 이 에칭제 기체는 질소 N2, 수소 H2, 그리고 메틸플루라이드 CH3F의 혼합물이다. 이 혼합물은 전용 물질로서, 탄화플루오르 첨가제를 가진 대안의 활성 에칭제와 희석제들을 포함하는 대안의 에칭 단계들이 본 발명에 따른 탄화플루오르를 포함한 에칭 단계 전후로 사용될 수 있다.
발명의 한 실시예에 따르면, 에칭 단계는 0~500mTorr 사이의 챔버 압력에서 수행되며, 10~250mTorr 범위가 선호되며, 20~160mTorr 범위가 더욱 선호되며, 가장 바람직한 범위는 130mTorr이다.
플라즈마의 고주파수 전력은 100~2500 W 범위이고, 선호되는 고주파수 전력 레벨은 250~1500 W이며, 보다 선호되는 범위는 500~1500 W이고, 가장 선호되는 범위는 1000W이다. 본 실시예에서, 27MHz 전원이 고주파수 전력 레벨 제공을 위해 사용된다.
저주파수 전력 레벨은 0~2500 W 범위로 설정되며, 선호되는 범위는 0~1000 W이다. 보다 선호되는 범위는 0~100W이며, 가장 선호되는 범위는 0W이다. 본 실시예에서, 2MHz 전원이 저주파수 전력 레벨 제공을 위해 사용된다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량들로 구성된다. H2의 유량은 3~1000 sccm이고, 선호되는 수소 유량은 25~500 sccm이며, 보다 선호되는 범위는 50~350 sccm이고, 가장 선호되는 유량은 250 sccm이다.
이 에칭제 기체는 N2를 희석제로 또한 포함하며, N2의 유량은 0~2500 sccm이며, 선호되는 범위는 50~1250 sccm, 보다 선호되는 범위는 100~1000 sccm, 가장 바람직한 유량은 750 sccm이다.
에칭제는 0.5~50 sccm의 CH3F를 또한 포함하고, 그 유량의 선호범위는 1~30 sccm이며, 보다 선호되는 유량은 2~10 sccm, 가장 선호되는 유량은 3 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 5~50도가 선호되고, 보다 선호되는 온도 범위는 10~40도이며, 가장 바람직한 온도는 섭씨 40도이다.
선행하는 온도 제어를 달성하기 위해, 웨이퍼 온도는 반응 챔버에 웨이퍼를 보지하는, 정전척(ESC)이라고도 불리는, 척을 통해 냉각 기체를 유입시킴으로서 열적으로 관리된다. 헬륨같은 이 냉각 기체 유량은 1~100 sccm이고, 선호되는 유량은 2~50 sccm이며, 보다 선호되는 범위는 10~40 sccm, 가장 바람직한 유량은 30 sccm이다. 요망 에칭 결과에 이를 때까지 에칭이 계속된다. 본 예에서, 에칭이 장벽(20)에 이를 때까지 에칭 단계가 진행된다(도 3b).
에칭 기법의 이 시점에서, 포토레지스트층(10)에 의해 형성된 특징부(24, 26)는 하드마스크층(12)과 유기질 저유전율층(14)을 통해 에칭되었다. 이 특징부는 장벽층(20)에 도달할 때(도 3b) 완전히 에칭된 것이다. 도 3b는 포토레지스트(10)의 제거 후 완료된 에칭을 또한 도시한다. 웨이퍼 적층구조는 이제 도핑, 패턴처리, 증착 단계 등 차후 공정을 수행할 준비가 된 것이다(단계 110).
앞서 언급한 전력 수준, 압력, 유량, 온도 등은 일례에 지나지 않는다. 웨이퍼 적층구조에서 두께를 달리하며 배치되는 여러 다른 유전 물질들이 전력, 압력, 유량, 온도, 그리고 그 외 다른 변수들의 여러 다른 조합을 요구할 수 있다. 본 발명의 원리는 이러한 모든 조합을 구체적으로 고려한다.
이러한 조합 중 한가지는 본 발명의 대안의 실시예를 형성한다. 발명의 한 실시예에 따르면, 에칭 단계는 0~500 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 10~250mTorr이며, 보다 선호되는 압력은 20~160mTorr이고, 가장 바람직한 압력은 50mTorr이다.
플라즈마의 고주파수 전력 레벨은 250~2500 W이다. 선호되는 고주파수 전력 레벨은 250~1500 W이며, 보다 선호되는 범위는 300~750 W이고, 가장 선호되는 범위는 500W이다.
저주파수 전력 레벨은 250~2500 W 범위로 설정되며, 선호되는 범위는 250~1500 W이다. 보다 선호되는 범위는 300~750W이며, 가장 선호되는 범위는 500W이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량들로 구성된다. H2의 유량은 3~500 sccm이고, 선호되는 수소 유량은 25~500 sccm이며, 보다 선호되는 범위는 50~250 sccm이고, 가장 선호되는 유량은 100 sccm이다.
이 에칭제 기체는 N2를 희석제로 또한 포함하며, N2의 유량은 0~2500 sccm이며, 선호되는 범위는 50~1250 sccm, 보다 선호되는 범위는 100~500 sccm, 가장 바람직한 유량은 300 sccm이다.
에칭제는 0.5~50 sccm의 CH3F를 또한 포함하고, 그 유량의 선호범위는 1~10 sccm이며, 보다 선호되는 유량은 2~7 sccm, 가장 선호되는 유량은 5 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 5~50도가 선호되고, 보다 선호되는 온도 범위는 10~40도이며, 가장 바람직한 온도는 섭씨 40도이다.
에칭 시간은 1초 미만에서부터 10분까지 변할 수 있고 상황에 따라 유동적이다. 본 예에서, 가장 바람직한 전력 설정, 기체 유량, 그리고 온도에서, 에칭은 약 60초동안 실행되었다.
또한, 위의 온도 제어를 실현하기 위해, 웨이퍼 온도는 척을 통한 냉각 기체의 유입에 의해 열적으로 관리된다. 헬륨같은 이 냉각 기체 유량은 1~100 sccm이고, 선호되는 유량은 2~50 sccm이며, 보다 선호되는 범위는 10~40 sccm, 가장 바람직한 유량은 31.1 sccm이다.
발명의 또다른 실시예에서, 에칭 단계는 0~500 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 10~300 mTorr이며, 보다 선호되는 압력은 150~200 mTorr이고, 가장 바람직한 압력은 200 mTorr이다.
플라즈마의 고주파수 전력 레벨은 100~1500 W이다. 선호되는 고주파수 전력 레벨은 300~1000 W이며, 보다 선호되는 범위는 600~800 W이고, 가장 선호되는 범위는 700W이다.
저주파수 전력 레벨은 0~500 W 범위로 설정되며, 선호되는 범위는 0~250 W이다. 보다 선호되는 범위는 0~100W이며, 가장 선호되는 범위는 0W이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량들로 구성된다. 암모니아 NH3의 유량은 100~3000 sccm이고, 선호되는 암모니아 유량은 500~2000 sccm이며, 보다 선호되는 범위는 750~1500 sccm이고, 가장 선호되는 유량은 1000 sccm이다.
에칭제는 0.5~50 sccm의 CH3F를 또한 포함하고, 그 유량의 선호범위는 1~10 sccm이며, 보다 선호되는 유량은 2~7 sccm, 가장 선호되는 유량은 5 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 5~50도가 선호되고, 보다 선호되는 온도 범위는 10~40도이며, 가장 바람직한 온도는 섭씨 20도이다.
발명의 또다른 실시예에서, 에칭 단계는 0~300 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 20~200 mTorr이며, 보다 선호되는 압력은 50~150 mTorr이고, 가장 바람직한 압력은 90 mTorr이다.
플라즈마의 고주파수 전력 레벨은 100~1500 W이다. 선호되는 고주파수 전력 레벨은 300~1000 W이며, 보다 선호되는 범위는 400~600 W이고, 가장 선호되는 범위는 500W이다.
저주파수 전력 레벨은 0~500 W 범위로 설정되며, 선호되는 범위는 100~300 W이다. 보다 선호되는 범위는 150~250W이며, 가장 선호되는 범위는 200W이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량들로 구성된다. 암모니아 NH3의 유량은 100~2000 sccm이고, 선호되는 암모니아 유량은 200~1000 sccm이며, 보다 선호되는 범위는 400~600 sccm이고, 가장 선호되는 유량은 500 sccm이다.
에칭제는 0.5~70 sccm의 CH3F를 또한 포함하고, 그 유량의 선호범위는 3~30 sccm이며, 보다 선호되는 유량은 6~15 sccm, 가장 선호되는 유량은 10 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 5~50도가 선호되고, 보다 선호되는 온도 범위는 10~40도이며, 가장 바람직한 온도는 섭씨 20도이다.
또다른 실시예에 따르면, 에칭 단계는 0~300 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 50~200mTorr이며, 보다 선호되는 압력은 100~150mTorr이고, 가장 바람직한 압력은 120 mTorr이다.
플라즈마의 고주파수 전력 레벨은 100~1500 W이다. 선호되는 고주파수 전력 레벨은 300~1000 W이며, 보다 선호되는 범위는 400~600 W이고, 가장 선호되는 범위는 500W이다.
저주파수 전력 레벨은 100~1500 W 범위로 설정되며, 선호되는 범위는 300~1000 W이다. 보다 선호되는 범위는 400~600W이며, 가장 선호되는 범위는 500W이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량들로 구성된다. 희석제로 첨가되는 Ar의 유량은 0~800 sccm이고, 선호되는 Ar 유량은 200~600 sccm이며, 보다 선호되는 범위는 300~500 sccm이고, 가장 선호되는 유량은 400 sccm이다.
이 에칭제 기체는 희석제로 N2를 또한 포함하며, N2의 유량은 0~300 sccm이며, 선호되는 범위는 50~200 sccm, 보다 선호되는 범위는 75~150 sccm, 가장 바람직한 유량은 100 sccm이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량으로 구성되는 것이 바람직하다. 이 중 산소 O2는 0~100 sccm의 유량 범위를 가지며, 선호되는 유량은 2~50 sccm, 더욱 선호되는 범위는 3~15 sccm, 가장 선호되는 유량은 6 sccm이다.
에칭제는 0.5~50 sccm의 CH3F를 또한 포함하고, 그 유량의 선호범위는 1~10 sccm이며, 보다 선호되는 유량은 2~7 sccm, 가장 선호되는 유량은 5 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 5~50도가 선호되고, 보다 선호되는 온도 범위는 10~40도이며, 가장 바람직한 온도는 섭씨 20도이다.
또다른 실시예에 따르면, 에칭 단계는 0~400 mTorr의 챔버 압력에서 수행되고, 선호되는 압력 범위는 100~300mTorr이며, 보다 선호되는 압력은 150~250mTorr이고, 가장 바람직한 압력은 200 mTorr이다.
플라즈마의 고주파수 전력 레벨은 1000~3000 W이다. 선호되는 고주파수 전력 레벨은 500~2000 W이며, 보다 선호되는 범위는 750~1500 W이고, 가장 선호되는 범위는 1000W이다.
저주파수 전력 레벨은 0~300 W 범위로 설정되며, 선호되는 범위는 0~200 W이다. 보다 선호되는 범위는 0~100W이며, 가장 선호되는 범위는 0W이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량들로 구성된다. 희석제로 첨가되는 He의 유량은 0~3000 sccm이고, 선호되는 He 유량은 600~2000 sccm이며, 보다 선호되는 범위는 900~1500 sccm이고, 가장 선호되는 유량은 1200 sccm이다.
에칭제 기체의 혼합물은 구성요소 에칭 기체들의 유량으로 구성되는 것이 바람직하다. 이 중 산소 O2는 0~100 sccm의 유량 범위를 가지며, 선호되는 유량은 2~50 sccm, 더욱 선호되는 범위는 3~15 sccm, 가장 선호되는 유량은 6 sccm이다.
에칭제는 0.5~30 sccm의 CH3F를 또한 포함하고, 그 유량의 선호범위는 1~30 sccm이며, 보다 선호되는 유량은 2~7 sccm, 가장 선호되는 유량은 3 sccm이다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 본 예에서, 제 1 에칭은 섭씨 0~60도 사이에서 진행된다. 특히 5~50도가 선호되고, 보다 선호되는 온도 범위는 10~40도이며, 가장 바람직한 온도는 섭씨 20도이다.
공정(100)은 여러 신규한 장점들을 가진다. 먼저, 에칭 속도를 저하시키는 앞서 언급한 마이크로마스킹 효과없이 에칭이 신속하게 진행된다. 앞서 언급한 실시예들 각각에 대한 에칭 속도는 명시된 조건하에서 일반적으로 3000 옹스트롬/분 이상이다. 물론, 여러 다른 공정 매개변수들로 실행되거나 여러 다른 두께를 가지는 여러 다른 물질로 운영되는 대안의 실시예들에서 에칭 속도가 더 클 수도 있고 더 작을 수도 있다.
두 번째 장점은 본 발명의 방법에 의한 프로파일 제어 정도가 괄목할만하다는 점이다.
본 발명의 테스트 중 나타난 한가지 장점은 탄화플루오르를 포함한 에칭을 실시하였을 때 프로파일 휨 효과가 크게 감소하였다는 점이다. 에칭 중, 탄화플루오르는 플루오르와 탄화수소종으로 해리된다. 가령 바이어 및 트렌치의 측벽처럼 이온 충돌이 불충분한 곳에서, 해리된 =CH- 군은 SiLK와 반응하여, 3차원 매트릭스로 배열되는 (=CH-N)=기를 구성하는 폴리머를 형성한다. 결과적인 HCN 폴리머는 CFx 폴리머와 함께 직접 CH3F를 해리시키고, 이는 측벽을 부동태화하여 프로파일 휨을 방지한다. 이 폴리머는 하드마스크 위에 증착되고, 이는 하드마스크의 스퍼터링 양을 감소시킨다. 플루오르 종은 스퍼터링된 하드마스크 성분과 조합하여, 휘발성 화합물을 형성하고, 따라서 펌핑되어 빠져나가며, 따라서, 마이크로마스킹 효가를 제거하거나 크게 감소시킬 수 있다.
본 발명의 구체적 특징은 특징부 크기가 폭넓게 변할 수 있도록 특징부를 형성하면서, 프로파일 제어가 우수하고 RIE 래그가 최소한이면서, 에칭 공정에 의해 형성되는 바이어의 휨도 최소한이고, 에칭 프로파일 및 포토레지스트 선택도가 우수하고, 웨이퍼에서의 에칭 균일성이 우수한 효과를 보이는 신규한 능력에 있다.
앞서 논의한 전력 레벨, 압력, 유량, 온도는 한 예일 뿐이다. 웨이퍼 적층 구조에서 두께를 달리하면서 배치되는 여러 다른 유전체 물질들이 여러 다른 전력, 압력, 유량, 온도 조합을 요구할 수 있다. 본 발명의 원리는 이러한 모든 조합들을 구체적으로 고려한다.

Claims (18)

  1. 한개 이상의 저유전율 유전층을 포함하는 집적회로 웨이퍼의 특징부 에칭 방법으로서, 이 방법은,
    - 반응 챔버 내에 웨이퍼를 배치하고,
    - 탄화플루오르-함유 에칭제 기체를 반응 챔버에 유입시키며,
    - 에칭제 기체로부터 플라즈마를 반응 챔버 내에 형성하고, 그리고
    - 저유전율층의 적어도 일부분에서 특징부를 에칭하는
    이상의 단계를 포함하는 것을 특징으로 하는 집적 회로 웨이퍼의 특징부 에칭 방법.
  2. 제 1 항에 있어서, 저유전율층이 유기질 저유전율 유전체층인 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 탄화플루오르가 CH3F, CH2F2, 그리고 CHF3중에서 선택되는 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서, 탄화플루오르-함유 에칭제 기체가 산소, 수소, 질소, 암모니아 중에서 선택되는 첨가제들을 추가로 포함하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 유기질 유전층이 SiLK로 만들어지는 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서, 상기 탄화플루오르의 유량이 0.5~50 sccm 사이인 것을 특징으로 하는 방법.
  7. 제 2 항에 있어서, 상기 유기질 유전층이 SiLK로 만들어지는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체가 CH3F 기체, H2기체, N2기체를 포함하는 것을 특징으로 하는 방법.
  9. 제 7 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체가 CH3F 기체와 NH3기체를 포함하는 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체가 CH3F 기체, O2기체, N2기체를 포함하는 것을 특징으로 하는 방법.
  11. 제 5 항에 있어서, 상기 탄화플루오르의 유량이 0.5 ~ 50 sccm 사이인 것을 특징으로 하는 방법.
  12. 제 2 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체가 CH3F 기체, H2기체, N2기체를 포함하는 것을 특징으로 하는 방법.
  13. 제 2 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체가 CH3F 기체와 NH3기체를 포함하는 것을 특징으로 하는 방법.
  14. 제 2 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체가 CH3F 기체, O2기체, N2기체를 포함하는 것을 특징으로 하는 방법.
  15. - 반응 챔버 내에 웨이퍼를 배치하고,
    - 반응 챔버 내에서 플라즈마를 충돌시키며,
    - 탄화플루오르-함유 에칭제 기체를 반응 챔버 내에 유입시키고, 그리고
    - 플라즈마와 에칭제 기체가 함께 조합되어, 저유전율층의 적어도 일부분에서 특징부를 에칭하는,
    이상의 단계를 포함하는 방법에 의해 특징부가 에칭되는 것을 특징으로 하는, 한개 이상의 저유전율 유전층에 형성되는 특징부를 가지는 웨이퍼 상의 집적 회로.
  16. 제 15 항에 있어서, 상기 저유전율 유전층이 유기질 저유전율 유전층인 것을 특징으로 하는 집적 회로.
  17. 제 16 항에 있어서, 상기 탄화플루오르가 CH3F, CH2F2, CHF3중에서 선택되는 것을 특징으로 하는 집적 회로.
  18. 제 17 항에 있어서, 상기 탄화플루오르-함유 에칭제 기체는 산소, 수소, 질소, 그리고 암모니아 중에서 선택되는 첨가제들을 추가로 포함하는 것을 특징으로 하는 집적 회로.
KR1020037010572A 2001-02-12 2002-01-29 유기질 저유전율 재료의 에칭 방법 KR100880131B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/782,185 2001-02-12
US09/782,185 US6841483B2 (en) 2001-02-12 2001-02-12 Unique process chemistry for etching organic low-k materials
PCT/US2002/002926 WO2002065512A2 (en) 2001-02-12 2002-01-29 Process for etching organic low-k materials

Publications (2)

Publication Number Publication Date
KR20030086998A true KR20030086998A (ko) 2003-11-12
KR100880131B1 KR100880131B1 (ko) 2009-01-23

Family

ID=25125261

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037010572A KR100880131B1 (ko) 2001-02-12 2002-01-29 유기질 저유전율 재료의 에칭 방법

Country Status (6)

Country Link
US (1) US6841483B2 (ko)
KR (1) KR100880131B1 (ko)
CN (1) CN1524287B (ko)
AU (1) AU2002240212A1 (ko)
TW (1) TW558768B (ko)
WO (1) WO2002065512A2 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP2006522480A (ja) * 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7517801B1 (en) 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
JP5306989B2 (ja) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
JP5297615B2 (ja) * 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
CN102832118B (zh) * 2012-09-11 2015-02-18 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US10872788B2 (en) * 2018-11-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etch apparatus and method for using the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6245663B1 (en) * 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6797633B2 (en) 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning

Also Published As

Publication number Publication date
CN1524287B (zh) 2010-09-01
US6841483B2 (en) 2005-01-11
CN1524287A (zh) 2004-08-25
WO2002065512A2 (en) 2002-08-22
WO2002065512A3 (en) 2003-03-13
AU2002240212A1 (en) 2002-08-28
KR100880131B1 (ko) 2009-01-23
TW558768B (en) 2003-10-21
US20020111036A1 (en) 2002-08-15

Similar Documents

Publication Publication Date Title
KR100880131B1 (ko) 유기질 저유전율 재료의 에칭 방법
KR100854609B1 (ko) 피쳐 에칭 방법
US6777344B2 (en) Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
KR100887911B1 (ko) 암모니아를 이용한 저유전율 유기 유전체 에칭 방법
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US7153779B2 (en) Method to eliminate striations and surface roughness caused by dry etch
US6844266B2 (en) Anisotropic etching of organic-containing insulating layers
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
US20070224829A1 (en) Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
WO2000003432A1 (en) Plasma etch process of a dielectric multilayer structure particularly useful for dual damascene
KR20010079655A (ko) 수소-질소 플라즈마를 사용하여 저용량 유전체 레이어를에칭하는 방법
US20070212885A1 (en) Method and composition for plasma etching of a self-aligned contact opening
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US20010005635A1 (en) Ashing method and method of producing wired device
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
US7192531B1 (en) In-situ plug fill
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
Labelle et al. Metal stack etching using a helical resonator plasma
US6399509B1 (en) Defects reduction for a metal etcher
JP2002367924A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130108

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150106

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160108

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee