JP2002367924A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JP2002367924A
JP2002367924A JP2001173028A JP2001173028A JP2002367924A JP 2002367924 A JP2002367924 A JP 2002367924A JP 2001173028 A JP2001173028 A JP 2001173028A JP 2001173028 A JP2001173028 A JP 2001173028A JP 2002367924 A JP2002367924 A JP 2002367924A
Authority
JP
Japan
Prior art keywords
silicon substrate
etching
insulating film
semiconductor device
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001173028A
Other languages
English (en)
Inventor
Kensaku Ishibashi
健作 石橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2001173028A priority Critical patent/JP2002367924A/ja
Publication of JP2002367924A publication Critical patent/JP2002367924A/ja
Withdrawn legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 コンタクトホールにおけるコンタクト抵抗が
小さく微細化が可能な半導体装置、およびその製造方法
を提供する。 【解決手段】 シリコン基板31上に、酸化シリコンか
らなる絶縁膜33を形成する第1の工程と、炭素および
フッ素を含むエッチングガスを用いたドライエッチング
によって、絶縁膜33に貫通孔33aを形成する第2の
工程と、第2の工程の際にシリコン基板31上に形成さ
れたポリマ(炭素化合物)34と、第2の工程の際にシ
リコン基板31の表面に形成された損傷層35とを、プ
ラズマエッチングによって除去する第3の工程とを含
み、プラズマエッチングが、(炭素化合物のエッチング
速度)/(シリコン基板のエッチング速度)=X(ただ
し、4≦X≦6)の関係を満たす条件で行われる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置および
その製造方法に関する。
【0002】
【従来の技術】近年、VLSIやULSIなどにみられ
るように半導体装置の高集積化および高性能化が進むの
に伴い、絶縁膜のドライエッチングにおいても、高異方
性や、高速性、高選択性、低ダメージ性、低汚染性とい
った要求が高まっている。
【0003】従来、絶縁膜の代表例である酸化シリコン
系絶縁膜(以下、「SiOx」膜という場合がある。)
のドライエッチングには、一般にCF4等のフルオロカ
ーボン、CHF3等のフルオロハイドロカーボン、また
はこれらの混合物を主体とするエッチングガスが広く用
いられている。
【0004】これらのガスが使用されるのは、(a)フ
ルオロカーボン系ガスに含まれるCがSiOx膜の表面
でC−O結合を生成し、Si−O結合を切断したり弱め
たりする働きがあり、(b)SiOx膜の主エッチング
種であるCFx+(特にx=3)を生成でき、さらに
(c)プラズマ中でカーボン・リッチな状態が作り出さ
れるので、SiOx膜中の酸素がCO、CO2の形で除
去される一方、ガス系に含まれるC,H,Fなどの寄与
でシリコン系材料からなるSiOx膜下地の表面では炭
素系のポリマが堆積してエッチング速度が低下し、高い
下地選択比が得られるからである。
【0005】ところで、半導体装置の製造においては、
様々なプロセス中でSiOx膜のドライエッチングが行
われる。たとえば、シリコン系材料層に対して選択性を
確保しながらSiOx膜のドライエッチングを行う場合
として、拡散層やゲート電極上にコンタクトホールを開
口するためのエッチングや、コンタクトホールのアライ
メントマージンを確保するためのサイドウオール膜形成
のエッチングが代表的である。
【0006】以下に、従来の半導体装置の製造方法にお
ける代表的なエッチング工程について、図6を参照しな
がら一例を説明する。
【0007】図6は、絶縁膜にコンタクトホールを形成
するときの製造工程を示している。図6の製造工程で
は、まず、図6(a)に示すように、シリコン基板1上
に、貫通孔2aを有する絶縁膜2を形成し、絶縁膜2を
覆うように絶縁膜3を形成する。
【0008】その後、図6(b)に示すように、たとえ
ば、CF4とCHF3の混合ガスをエッチングガスとして
使用し、絶縁膜3をドライエッチングする。このとき、
貫通孔2aの壁面の絶縁膜3を残すように、異方性の高
いドライエッチングを行う。このようにして、貫通孔2
aの側壁にサイドウォール3aが形成される。絶縁膜3
のドライエッチングの際には、図6(b)に示すよう
に、シリコン基板1の露出された表面に炭素系のポリマ
4が堆積する。また、シリコン基板1の露出された表面
には、イオン化したエッチングガスや、SiOx膜の成
分からのC、F、O、またはHのイオンなどのエネルギ
ー粒子の入射によって、Si−C結合やSi−O結合な
どを多く含む損傷層5が生じる。
【0009】このような損傷層5がシリコン基板1の表
面層に形成されると、コンタクト抵抗の上昇やリーク電
流の不安定化等、多くの悪影響が生じ、高い信頼性が要
求される半導体装置を形成するのは困難になる。
【0010】そこで、従来の製造方法では、CF4/O2
混合ガス、またはCHF3/O2混合ガスを用いたダウン
ストリーム型プラズマエッチングによって、炭素系のポ
リマ4および損傷層5を同時に剥離・除去していた。
【0011】このエッチング工程では、まず、図6
(c)に示すように、炭素系のポリマ4だけでなく損傷
層5も同時にエッチングされ、炭素系のポリマが厚い部
分では、ポリマ4がマスクとなる。そのため、図6
(d)に示すように、損傷層5が完全に除去されたとき
には、シリコン基板1に凹凸6が形成されることにな
る。すなわち、上記損傷層5を除去する過程の初期にお
いては、ポリマ4の除去が終了し損傷層5の除去が行わ
れている部分と、ポリマ4が残存し損傷層5の表面が露
出されずにエッチングされていない部分とが共存してい
る。そして、半導体シリコン基板1の表面のうち、早期
に露出されてエッチングされた部分は凹部となり、早期
に露出されなかった部分は凸部になる。このようにし
て、半導体シリコン基板1の表面に凹凸6が形成され
る。このような凹凸6を形成することによって、同一の
コンタクトホール径で、コンタクトホールと下地である
活性領域表面との接触面積を増大させることができる。
【0012】
【発明が解決しようとする課題】しかしながら、従来
は、炭素系のポリマ4および損傷層5をドライエッチン
グで除去する際に、凹凸6の大きさを適当な値にするこ
とが困難であった。凹凸6の大きさが小さすぎると、コ
ンタクトホールと下地である活性領域表面との接触面積
が不十分となり、コンタクト抵抗が高くなる。一方、凹
凸6の大きさが大きすぎると、コンタクトホールが活性
領域を突き抜けて接合リークが生じたり、コンタクト抵
抗がばらついたりするという問題がある。これらの問題
は、特に、半導体装置の微細化に伴って大きくなる。
【0013】上記問題を解決するため、本発明は、コン
タクトホールにおけるコンタクト抵抗が小さく微細化が
可能な半導体装置、およびその製造方法を提供すること
を目的とする。
【0014】
【課題を解決するための手段】上記目的を達成するため
に本発明の半導体装置は、シリコン基板とシリコン基板
上に形成された絶縁膜とを備え、絶縁膜にコンタクトホ
ールが形成されており、シリコン基板のうちコンタクト
ホールの内部に面する表面に、平均高低差が10nm以
上25nm以下の凹凸が形成されていることを特徴とす
る。上記半導体装置によれば、コンタクトホールにおけ
るコンタクト抵抗が小さく微細化が可能な半導体装置が
得られる。
【0015】また、本発明の半導体装置の製造方法は、
シリコン基板上に、酸化シリコンからなる絶縁膜を形成
する第1の工程と、炭素およびフッ素を含むエッチング
ガスを用いたドライエッチングによって、絶縁膜に貫通
孔を形成する第2の工程と、第2の工程の際にシリコン
基板上に形成された炭素化合物と、第2の工程の際にシ
リコン基板の表面に形成された損傷層とを、プラズマエ
ッチングによって除去する第3の工程とを含み、プラズ
マエッチングが、(炭素化合物のエッチング速度)/
(シリコン基板のエッチング速度)=X(ただし、4≦
X≦6)の関係を満たす条件で行われることを特徴とす
る。上記製造方法によれば、シリコン基板のうちコンタ
クトホールの内部に面する表面に、平均高低差が10n
m以上25nm以下の凹凸を形成できる。したがって、
上記製造方法によれば、コンタクトホールにおけるコン
タクト抵抗が小さく微細化が可能な半導体装置を製造で
きる。
【0016】上記製造方法では、プラズマエッチング
が、フッ素を含むガスと酸素ガスとの混合ガスを用いて
行ってもよい。
【0017】上記製造方法では、プラズマエッチングに
おいて、シリコン基板の温度が10℃以上60℃以下で
あり、混合ガスが、(フッ素を含むガスの分圧):(酸
素ガスの分圧)=1:Y(ただし、10≦Y≦40)の
関係を満たすようにしてもよい。
【0018】
【発明の実施の形態】以下、本発明の実施の形態につい
て、図面を参照しながら説明する。
【0019】(実施形態1)実施形態1では、本発明の
半導体装置について説明する。本発明の半導体装置は、
コンタクトホールを備える半導体装置である。
【0020】実施形態1の半導体装置について、コンタ
クトホール周辺の一部断面図を図1に示す。図1を参照
して、実施形態1の半導体装置は、シリコン基板11
と、シリコン基板11上に形成された絶縁膜12とを備
える。絶縁膜12には、コンタクトホール12aが形成
されている。そして、コンタクトホール12a内部に面
するシリコン基板11の表面には、平均高低差が10n
m以上25nm以下の凹凸11aが形成されている。な
お、実際の半導体装置では、凹凸11aの部分に拡散層
が形成されたり、コンタクトホール内に電極が形成され
たりするが、図1では図示を省略している(以下の図面
においても同様である)。
【0021】シリコン基板11には、結晶シリコンや多
結晶シリコンなどの結晶系シリコン基板を用いることが
できる。
【0022】なお、絶縁膜12のコンタクトホール12
aの内側面に、他の絶縁膜であるサイドウォール13が
形成されていてもよい。このような半導体装置につい
て、一例の一部断面図を図2に示す。絶縁膜13には、
たとえば、TEOS膜(テトラエトキシシランを原料ガ
スとする酸化シリコン膜)などの酸化シリコン膜を用い
ることができる。
【0023】実施形態1の半導体装置には、たとえば、
凹凸11aが形成された拡散層と接続し、コンタクトホ
ール12aを埋める電極として、Ti、TiNのバリア
層とともに積層されるアルミ電極コンタクトやタングス
テンプラグコンタクトが形成される。この中でも、たと
えば、タングステンプラグコンタクトを形成する場合の
一例について、以下に説明する。この場合には、まず、
スパッタリング法によって、Ti膜(厚さ30nm)お
よびTiN膜(厚さ70nm)の2層構造を有するバリ
アメタルを形成し、基板表面の凹凸11aをもつ拡散層
と接触させる。次に、CVD法によってタングステンを
400nm堆積させたのち、エッチバックを行い、コン
タクトホール12aを埋め込む。その後、銅を含むアル
ミニウムをスパッタリングしパターニングすることによ
って、上層配線を形成する。
【0024】実施形態1の半導体装置では、コンタクト
ホール12a内部に面するシリコン基板11の表面に形
成されている凹凸11aの平均高低差が、10nm以上
25nm以下である。凹凸11aの平均高低差を10n
m以上とすることによって、シリコン基板11とコンタ
クト材料との接触面積が増加することから、コンタクト
抵抗を低減することができる。また、凹凸11aの高低
差を25nm以下とすることによって、活性領域が浅い
場合にもリークなどが発生することを防止できる。した
がって、実施形態1の半導体装置によれば、コンタクト
ホールにおけるコンタクト抵抗が小さく微細化が可能な
半導体装置が得られる。
【0025】(実施形態2)実施形態2では、本発明の
半導体装置の製造方法について説明する。実施形態2の
半導体装置の製造方法によれば、実施形態1で説明した
半導体装置を製造できる。
【0026】実施形態2の製造方法では、まず、結晶系
シリコン基板上に、酸化シリコンからなる絶縁膜を形成
する(第1の工程)。結晶系シリコン基板および絶縁膜
には、実施形態1で説明したシリコン基板11および絶
縁膜12と同様のものを用いることができる。なお、結
晶系シリコン基板は、製造する半導体装置に応じて、不
純物がドーピングされた領域などを備える。
【0027】次に、炭素およびフッ素を含むエッチング
ガスを用いたドライエッチングによって、上記絶縁膜に
貫通孔(コンタクトホール)を形成する(第2の工
程)。エッチングガスには、たとえば、CF4とCHF3
との混合ガスや、C48、C26、CH22を用いるこ
とができる。
【0028】次に、上記第2の工程の際に結晶系シリコ
ン基板上に形成された炭素化合物(炭素系のポリマ)
と、上記第2の工程の際に結晶系シリコン基板の表面に
形成された損傷層とを、フッ素を含むガスと酸素ガスと
の混合ガスを用いたプラズマエッチングによって除去す
る(第3の工程)。具体的には、たとえば、CF4とO2
との混合ガスや、CHF3とO2との混合ガスを用いるこ
とができる。なお、フッ素を含むガスと酸素ガスとの混
合ガスに、ArガスまたはHeガスなどを添加した混合
ガスを用いても同様の効果が得られる。ここで、第3の
工程におけるプラズマエッチングは、(炭素化合物のエ
ッチング速度)/(シリコン基板のエッチング速度)=
X(ただし、4≦X≦6)の関係を満たす条件で行われ
る。なお、第2の工程で形成される炭素化合物は、エッ
チング工程で用いられるレジスト膜とエッチング速度が
ほぼ同じであり、レジスト膜のエッチング速度を用いて
炭素化合物のエッチング速度を推定することができる。
【0029】上記エッチング速度比Xを制御する方法と
しては、エッチングに用いるフッ素を含むガスの分圧と
酸素ガスの分圧とを変化させる方法と、基板温度を変化
させる方法とがある。しかし、フッ素を含むガスの分圧
と酸素ガスの分圧とを変化させる方法では、絶縁膜のエ
ッチング速度と結晶系シリコン基板のエッチング速度と
の比も変化してしまう。このため、基板温度を制御する
ことによって上記エッチング速度比Xを変化させること
が好ましい。
【0030】上記第3の工程においては、シリコン基板
の温度が10℃以上60℃以下であり、フッ素を含むガ
スと酸素ガスとが、(フッ素を含むガスの分圧):(酸
素ガスの分圧)=1:Y(ただし、10≦Y≦40)の
関係を満たすことが好ましい。
【0031】上記第3の工程によって、コンタクトホー
ル内部に面した結晶系シリコン基板の表面に、平均高低
差が10nm以上25nm以下の凹凸が形成される。上
記第3の工程ののちは、製造する半導体に応じて電極の
形成などを行い、半導体装置を完成させる。
【0032】
【実施例】以下、実施例を用いて本発明をさらに詳細に
説明する。
【0033】本実施例では、実施形態2の製造方法を用
いてコンタクトホールを形成した一例について説明す
る。本実施例におけるコンタクトホールの製造工程を図
3に示す。
【0034】この実施例では、まず、図3(a)に示す
ように、シリコン基板31上に、貫通孔32aを有する
膜厚800nmのボロフォスフォシリケートガラス膜
(以下、BPSG膜という)32を形成した。BPSG
膜32は、図2の絶縁膜12に相当する。BPSG膜3
2は、シリコン基板31上にBPSG膜を形成したの
ち、窒素雰囲気中800℃で30分間アニールを行い、
さらに公知のフォトリソグラフィー技術とドライエッチ
ング技術とを用いて貫通孔32aを形成することによっ
て作製した。
【0035】その後、図3(b)に示すように、BPS
G膜32および貫通孔32a内のシリコン基板31を覆
うように、膜厚50nmの絶縁膜33を形成した。絶縁
膜33は、テトラエトキシシランを原料ガスとしてLP
CVD法によって形成した。
【0036】その後、図3(c)に示すように、絶縁膜
33のうち貫通孔32aの側壁に形成されている部分以
外の部分を除去し、貫通孔33aを形成した。絶縁膜3
3の一部の除去は、CF4とCHF3との混合ガスをエッ
チングガスとする異方性のドライエッチングによって行
った。具体的には、ガス圧が20Paで、RFパワーが
800Wの条件で行った。このドライエッチングの際
に、シリコン基板31上には異方性エッチング時の反応
生成物である炭素系のポリマ(炭素化合物)34が堆積
し、シリコン基板31の表面に損傷層35が形成され
た。
【0037】その後、図3(d)に示すように、ポリマ
34と損傷層35とを、酸素ガスおよびCF4ガスを用
いたプラズマエッチング(プラズマ処理)によって除去
した。ドライエッチングには、ダウンフロー型の枚葉式
ケミカルドライエッチング装置を用いた。このときのプ
ラズマエッチングは、(ポリマ34のエッチング速度)
/(シリコン基板31のエッチング速度)=X(ただ
し、4≦X≦6)となるように行った。具体的には、
(CF4ガスの分圧):(酸素ガスの分圧)=1:20
とし、ガス圧力を50Paとし、RFパワーを1000
Wとし、シリコン基板31を配置した基板ステージの温
度を50℃とした。なお、この条件でレジスト膜(住友
化学製:PFI38)のエッチングを行ったところ、
(レジスト膜のエッチング速度)/(シリコン基板31
のエッチング速度)=5であった。
【0038】このようにして、コンタクトホール36を
形成した。シリコン基板31のうち、コンタクトホール
36の内部に面する表面には、平均高低差が10nm以
上25nm以下の凹凸31aが形成された。
【0039】なお、凹凸31aの平均高低差は、シリコ
ン基板のエッチング速度とポリマのエッチング速度との
比を変化させることによって調整できる。レジスト膜
(住友化学製:PFI38)のエッチング速度と多結晶
シリコン膜のエッチング速度とのエッチング速度比が変
化するエッチング条件を用いて、図3(d)のプラズマ
エッチングを行い、凹凸31aの平均高低差を測定した
結果を図4に示す。このときのエッチング条件は、基板
ステージの温度以外は、図3(c)のプラズマエッチン
グの条件と同様である。なお、レジスト膜とコンタクト
ホール内に形成されるポリマとは、エッチング時に同様
の挙動を示す。同様に、多結晶シリコン膜とシリコン基
板とは、エッチング時に同様の挙動を示す。
【0040】図4から明らかなように、エッチング速度
比を変化させることによって、シリコン基板の表面に形
成される凹凸の平均高低差を変化させることができた。
そして、(レジスト膜のエッチング速度)/(多結晶シ
リコン膜のエッチング速度)の比を4以上6以下とする
ことによって、シリコン基板に形成される凹凸の平均高
低差を10nm以上25nm以下の範囲内に調整できる
ことがわかった。
【0041】図4のプラズマエッチングにおいて、エッ
チング速度比は、基板ステージの温度を変化させること
によって行った。基板ステージの温度とエッチング速度
比との関係を図5に示す。図5に示すように、基板ステ
ージの温度を変化させることによってエッチング速度比
を変化させることができた。なお、エッチング速度比が
4となる基板ステージ温度は約10℃であり、エッチン
グ速度比が6となる基板ステージ温度は約60℃であっ
た。
【0042】以上、本発明の実施の形態について例を挙
げて説明したが、本発明は、上記実施の形態に限定され
ず本発明の技術的思想に基づき他の実施形態に適用する
ことができる。
【0043】
【発明の効果】以上のように本発明にかかる半導体装置
およびその製造方法によれば、コンタクトホールに面す
る基板表面に平均高さが10nm以上25nm以下の凹
凸が形成された半導体装置が得られる。したがって、本
発明によれば、コンタクト抵抗が小さく、接合リークの
発生が少ない半導体装置が得られる。
【図面の簡単な説明】
【図1】 本発明の半導体装置について一例の一部を模
式的に示す断面図である。
【図2】 本発明の半導体装置について他の一例の一部
を模式的に示す断面図である。
【図3】 本発明の半導体装置の製造方法について一例
を示す工程図である。
【図4】 エッチング速度比とシリコン基板に形成され
る凹凸の平均高低差との関係を示すグラフである。
【図5】 基板ステージ温度とエッチング速度比との関
係を示すグラフである。
【図6】 従来の半導体装置の製造方法について一例を
示す工程図である。
【符号の説明】
11 シリコン基板 11a 凹凸 12 絶縁膜 12a コンタクトホール 13 絶縁膜 31 シリコン基板 31a 凹凸 32 BPSG膜 33 絶縁膜 33a 貫通孔 34 ポリマ(炭素化合物) 35 損傷層 36 コンタクトホール
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4M104 AA01 BB14 CC01 DD04 DD08 DD16 DD19 DD24 HH04 HH15 5F004 AA02 AA09 BB13 DA00 DA01 DA02 DA15 DA16 DA22 DA23 DA26 DB03 DB05 EB01 FA01

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 シリコン基板と、前記シリコン基板上に
    形成された絶縁膜とを備え、 前記絶縁膜にコンタクトホールが形成されており、 前記シリコン基板のうち前記コンタクトホールの内部に
    面する表面に、平均高低差が10nm以上25nm以下
    の凹凸が形成されていることを特徴とする半導体装置。
  2. 【請求項2】 シリコン基板上に、酸化シリコンからな
    る絶縁膜を形成する第1の工程と、 炭素およびフッ素を含むエッチングガスを用いたドライ
    エッチングによって、前記絶縁膜に貫通孔を形成する第
    2の工程と、 前記第2の工程の際に前記シリコン基板上に形成された
    炭素化合物と、前記第2の工程の際に前記シリコン基板
    の表面に形成された損傷層とを、プラズマエッチングに
    よって除去する第3の工程とを含み、 前記プラズマエッチングが、(炭素化合物のエッチング
    速度)/(シリコン基板のエッチング速度)=X(ただ
    し、4≦X≦6)の関係を満たす条件で行われることを
    特徴とする半導体装置の製造方法。
  3. 【請求項3】 前記プラズマエッチングが、フッ素を含
    むガスと酸素ガスとの混合ガスを用いて行われる請求項
    2に記載の半導体装置の製造方法。
  4. 【請求項4】 前記プラズマエッチングにおいて、 前記シリコン基板の温度が10℃以上60℃以下であ
    り、 前記混合ガスが、(フッ素を含むガスの分圧):(酸素
    ガスの分圧)=1:Y(ただし、10≦Y≦40)の関
    係を満たす請求項3に記載の半導体装置の製造方法。
JP2001173028A 2001-06-07 2001-06-07 半導体装置およびその製造方法 Withdrawn JP2002367924A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001173028A JP2002367924A (ja) 2001-06-07 2001-06-07 半導体装置およびその製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001173028A JP2002367924A (ja) 2001-06-07 2001-06-07 半導体装置およびその製造方法

Publications (1)

Publication Number Publication Date
JP2002367924A true JP2002367924A (ja) 2002-12-20

Family

ID=19014553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001173028A Withdrawn JP2002367924A (ja) 2001-06-07 2001-06-07 半導体装置およびその製造方法

Country Status (1)

Country Link
JP (1) JP2002367924A (ja)

Similar Documents

Publication Publication Date Title
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
KR100880131B1 (ko) 유기질 저유전율 재료의 에칭 방법
US5691246A (en) In situ etch process for insulating and conductive materials
US20050153538A1 (en) Method for forming novel BARC open for precision critical dimension control
US6383918B1 (en) Method for reducing semiconductor contact resistance
JP2000216135A (ja) エッチング方法
JPH10256232A (ja) 半導体装置の製造方法
JPH0555181A (ja) 半導体装置の製造方法
JP4008352B2 (ja) 絶縁膜のエッチング方法
US6569776B2 (en) Method of removing silicon nitride film formed on a surface of a material with a process gas containing a higher-order fluorocarbon in combination with a lower-order fluorocarbon
US5522520A (en) Method for forming an interconnection in a semiconductor device
TWI784183B (zh) 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊
JPH1197414A (ja) 酸化シリコン系絶縁膜のプラズマエッチング方法
US5968278A (en) High aspect ratio contact
US6743725B1 (en) High selectivity SiC etch in integrated circuit fabrication
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
JP2001127039A (ja) 半導体装置の製造方法
GB2333268A (en) Selective anisotropic plasma etching of a silicon nitride film using CO and a CHF gas at reduced substrate temperature
JP2002367924A (ja) 半導体装置およびその製造方法
JP3305270B2 (ja) 半導体装置の製造方法
JP2005136097A (ja) 半導体装置の製造方法
KR101037690B1 (ko) 반도체소자의 제조방법
JP3440599B2 (ja) ビアホール形成方法
JP3383939B2 (ja) ドライエッチング方法
JPH07263406A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080902