KR100854609B1 - 피쳐 에칭 방법 - Google Patents

피쳐 에칭 방법 Download PDF

Info

Publication number
KR100854609B1
KR100854609B1 KR1020037010534A KR20037010534A KR100854609B1 KR 100854609 B1 KR100854609 B1 KR 100854609B1 KR 1020037010534 A KR1020037010534 A KR 1020037010534A KR 20037010534 A KR20037010534 A KR 20037010534A KR 100854609 B1 KR100854609 B1 KR 100854609B1
Authority
KR
South Korea
Prior art keywords
flow rate
etching
etchant
hard mask
dielectric layer
Prior art date
Application number
KR1020037010534A
Other languages
English (en)
Other versions
KR20030093204A (ko
Inventor
척더블유. 호
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030093204A publication Critical patent/KR20030093204A/ko
Application granted granted Critical
Publication of KR100854609B1 publication Critical patent/KR100854609B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

집적 회로 웨이퍼에서 피쳐를 에칭하기 위한 방법이 제공된다. 하나 이상의 유전체층을 포함하는 웨이퍼가 반응 챔버 내에 배치된다. 탄화수소 첨가제 및 활성 에칭제를 포함하는 에칭제 기체가 반응 챔버 내부로 유입된다. 반응 챔버 내에서 에칭제 기체로부터 플라즈마가 형성된다. 이 피쳐는 유전체층의 적어도 일부에서 에칭된다. 적절한 탄화수소의 여러 소스가 본 발명의 구현을 위해 적절한 것으로 간주된다. 이 소스로는 에틸렌 (C2H4), 에탄 (C2H6) 및 메탄(CH4)을 포함하지만 구체적으로 이에 한정되지 않는다.

Description

피쳐 에칭 방법{A METHOD OF ETCHING A FEATURE}
본 발명은 반도체 제작에 관한 것이다. 특히 본 발명은 반도체 웨이퍼 공정 중 저유전율 유전체의 에칭 시 마이크로마스킹을 제거하는 것에 관한 것이다.
집적 회로는 반도체 구조물의 여러 층 위에서 도전 라인들을 절연시키기 위해 이산화규소 (SiO2) 로 이루어지는 유전체층들을 이용한다. 반도체 회로가 점점 고속화되고 소형화됨에 따라, 동작 주파수가 증가하고 반도체 소자 내 도전 라인간 거리가 감소하고 있다. 이로 인해, 회로에 대한 결합 커패시턴스가 증가하며, 이는 반도체 소자의 동작 속도를 저하시키는 단점을 보인다. 따라서, 이러한 결합 커패시턴스 증가에 대하여 도전 라인들을 효과적으로 절연시킬 수 있는 유전체층들을 이용하는 것이 중요해지고 있다.
일반적으로, 집적 회로의 결합 커패시턴스는 유전체층을 형성하는데 사용되는 물질의 유전율 k에 정비례한다. 상술한 바와 같이, 기존 집적 회로의 유전체층들은 통상적으로 SiO2로 이루어지며, 그 유전율은 4.0이다. 반도체 소자에서 선밀도와 동작 주파수를 증가시킨 결과, SiO2로 이루어지는 유전체층들은 결합 캐패시턴스 증가를 방지하기 위해 요구되는 범위로 도전 라인을 효과적으로 절연시키지 못할 수 있다.
집적 회로에서 결합 커패시턴스 크기를 감소시키려는 노력에서, 반도체 산업은 SiO2보다 더 낮은 유전율을 가지는 물질들을 개발하려고 시도하고 있고, 이 물질들은 물론 집적 회로의 유전체층 형성에 사용하기에 적합하여야 할 것이다. 지금까지, "저유전율 물질 (low-k material)" 이라 불리는 수많은 물질들이 개발되었다. 이들 새로운 유전체들의 대부분이 유기화합물이다. 명세서 및 청구범위에서 저유전율 물질은 유전율 "k" 가 4 보다 작은 물질로 정의된다.
저유전율 물질은 벤조시클로부텐(benzocyclobutene; BCB); 미국 미네소타 미네폴리스 소재 허니웰 사 (Honeywell, Inc.)의 자회사인 뉴저지, 모리스타운 소재 의 알리드 시스널 (Allied Signal®) 에 의해 제작된 플레어 (FlareTM); 미국 코네티컷 댄버리 소재 유니온 카바이드 사 (Union Carbide® Corporation) 에서 통용되는 하나 이상의 파릴렌 이합체 (Parylene dimers); 폴리테트라플루오로에틸렌 (polytetrafluoroethylene; PTFE); 및 실크 (SiLK®) 를 포함하지만 구체적으로 이에 한정되지는 않는다. IC 유전체 애플리케이션에 적합한 하나의 PTFE는 미국 델라웨어 뉴아크 (Newark) 소재 W.L. 고어 & 합동 사 (Gore & Associates, Inc.) 에서 통용되는 스피드필름 (SPEEDFILMTM) 이다. 미국 미시간 미들랜드 (Midland) 소재 다우 케미컬 사 (Dow Chemical Company) 에서 통용되는 SiLK® 는 실리콘-프리 (silicon-free) BCB 이다.
반도체 웨이퍼 처리 시, 반도체 소자의 피쳐 (feature) 들은 공지된 패터닝 및 에칭 공정을 이용하여 웨이퍼에 정의된다. 이들 공정들에서는, 포토레지스트 (PR) 물질이 웨이퍼 상에 증착되고 레티클 (reticle) 에 의해 광 필터링되도록 노출된다. 이 레티클은 레티클을 통한 광의 투과를 차단시키는 일례의 특징 형태들을 가지며 패터닝된 글래스판이다.
레티클 투과 후, 광은 포토레지스트 물질의 표면과 접촉한다. 광은 포토레지스트 물질의 화학적 조성을 변화시켜서, 현상액 (developer) 이 포토레지스트 물질의 일부를 제거할 수 있도록 한다. 양성 포토레지스트 물질의 경우 노광된 영역들이 제거되며, 음성 포토레지스트 물질의 경우 노광되지 않은 영역들이 제거된다. 그 후 웨이퍼는 더 이상 포토레지스트 물질에 의해 보호되지 않은 영역으로부터 하부 물질이 제거되도록 에칭되며, 이에 따라 웨이퍼에 원하는 피쳐들을 형성한다. 저유전율 유기 폴리머는 일반적으로 산화 (가령, 산소-기재) 나 환원(가령, 수소-기재) 화학 처리에 의해 에칭될 수 있다.
유전체의 에칭은 DFC (dual-frequency capacitively-coupled) 유전체 에칭 시스템에서 실현되는 것이 바람직할 수 있다. 이러한 시스템 중 하나가 미국 캘리포니아 프리몬트 (Fremont) 소재 램 리서치 사 (Lam® Research Corporation) 에서 통용되는 램 리서치 모델 4520 XLETM와 Exelan-HPTM 이다. 4520 XLETM 시스템은 매우 포괄적인 유전체 에칭 포트폴리오를 처리한다. 처리들은 콘택들, 비아들, 2층 콘택들 (bi-level contacts), 보더리스 콘택들 (borderless contacts), 질화물 및 산화물 스페이서 (nitride and oxide spacers), 및 패시배이션 (passivation) 을 포함한다.
4520 XLETM 과 같은 진보된 에칭 시스템은 동일 시스템에서 여러 공정을 수행한다. 단일 시스템에서 많은 다른 반도체 제작 단계들을 수행함으로써, 웨이퍼 생산성이 증가될 수 있다. 좀더 개량된 시스템들은 동일한 장비 내에서 추가적 단계들을 수행할 수 있다. 또 다시 예를 들자면, 램 리서치 사의 ExelanTM 시스템은 단일 장치에서 여러 공정 단계들을 실시할 수 있는 건식 에칭 시스템이다. ExelanTM은 하드 마스크 오픈, 무기 및 유기 반사방지 코팅 (ARC) 에칭, 및 포토레지스트 스트립을 단일 챔버 내에서 "인 시튜 (in situ) " 로 실시할 수 있게 한다. 이 시스템의 확장형 프로세스 포트폴리오는 0.18 미크론 이하의 환경에서 요구되는 저유전율 유전체와 도핑 및 도핑되지 않은 산화물에서의 패시배이션 에칭, 스페이서, 비아, 콘택, 모든 듀얼 다마신 구조물들 (dual damascene structures) 을 포함한다. 물론, 여기서 열거된 원리들은 다양한 종류의 반도체 제작 시스템에서 구현될 수 있고, 이 원리들은 모든 이러한 대안들을 구체적으로 고려한다.
여기서 사용되는 "인 시튜(in situ)" 라는 용어는 반도체 제작 장비로부터 기판을 이동시키지 않으면서 동일한 부품의 제작 장비에서 주어진 기판, 가령 실리콘 웨이퍼 상에 수행되는 하나 이상의 공정을 의미한다.
현재의 수많은 집적 회로 제작 기술들은 웨이퍼의 피쳐 형성에 사용되는 하나 이상의 패터닝 단계들에 이어지는 포토레지스트 제거 단계를 이용한다. 여러 포토레지스트들이 저유전율 유전체, 특히 실크같은 저유전율 유기 유전체와 유사한 화학적 조성을 가지기 때문에, 웨이퍼의 피쳐 에칭 중 우수한 치수 제어를 보장하기 위해 포토레지스트 아래에 하드 마스크가 종종 사용된다.
하드 마스크층을 포함한 웨이퍼 적층구조의 예가 도 1a에 도시된다. 패터닝된 포토레지스트층 (10) 을 가지는 웨이퍼 (1) 가 도시된다. 본 예에서, 웨이퍼 (1) 는 실리콘 기판 (22) 을 포함하며, 실리콘 기판 (22) 위에는 탄화규소나 질화규소 장벽층 (20) 이 증착된다. 장벽층 (20) 위에는 제1 유기실리케이트 유전체층 (18), 가령 노벨루스 코랄 (Novellus CoralTM) 이 증착된다. 도시되지 않는 금속 구조물이 제1 유기실리케이트 유전체층 아래에 형성될 수 있다. 도시되지 않는 이중 다마신 구조 형성을 위해 제 1 블랭크층 (18) 사이에 얇은 탄화규소 트렌치 정지층 (16) 이 배치된다. 또한, 코랄로 이루어지는 제 2 블랭크층 (14) 이 트렌치 정지층 (16) 위에 증착된다. 제 2 유기실리케이트층 (14) 위에 하드 마스크층 (12) 이 증착되어 웨이퍼 적층구조를 완성한다. 하드 마스크는 SiO2, Si3N4, 또는 그 외 다른 하드 마스크 물질, 특히, 무기 하드 마스크 물질로 형성될 수 있다. 상술한 패터닝된 포토레지스트층 (10) 은 하드 마스크층 (12) 위에 적용된다. 물론, 당업자는 이러한 웨이퍼 적층구조가 단지 예시일뿐이라는 것을 알 수 있다. 당업자에게 공지된 대안의 구조 및 막들이 대안의 집적 회로 설계를 구현하는데 사용될 수 있다.
도 1b를 참조하면, 에칭 공정, 특히 저유전율 (low-k) OSG 유전체층들 (14, 18) 의 에칭이 진행됨에 따라, 포토레지스트층 (10) 이 에칭 제거되어 아래의 하드 마스크층 (12) 의 일부를 노출시킨다. 에칭이 계속됨에 따라, 하드 마스크층의 이온 충돌이 하드 마스크층 (12) 의 일부가 스퍼터링 제거된다. 스퍼터링된 하드 마스크 물질 중 일부는 웨이퍼 표면 및 반응 챔버 전체에 재증착된다. 단면 "A" 가 도 1c에서 확대된다. 이 스퍼터링된 물질 중 적어도 일부가 도 1c의 36에 도시된 바와 같이 에칭중에 에칭된 피쳐의 저부에 재증착된다. 마이크로마스킹의 양은 이온 에너지와 이온 밀도 증가에 따라 증가한다. 마이크로마스킹은 피쳐 크기가 크고 영역이 오픈된 상태일 때 더욱 확연하다.
도 1c를 참조하면, 피쳐가 실크와 같은 저유전율 유기 유전체층 (14, 18) 및 에치 정지층 (20) 을 통해 에칭되는 것을 나타낸다. (36)에서 재증착된 하드 마스크 물질이 하드 마스크 물질의 침상체 (spicules; 34) 를 형성하는 것이 현미경 사진에 나타난다. 이 물질의 시각적 외양은 "그래스 (grass)" 의 형태를 띠며, 실크를 마이크로마스킹하는 것이 스퍼터링된 하드 마스크로서, "그래스 (grass)"를 형성한다. 물론 마이크로마스크가 피쳐의 에칭 속도를 저하시킬 뿐 아니라 상기 피쳐의 불규칙적이고 고르지 않은 에칭을 유발하여, 극단적인 경우에 매우 불량한 프로파일 제어 및 생산성 감소를 일으킬 수 있다.
그래스 효과를 완화시키기 위해 에칭 중 에칭제 기체에 불소(F)가 추가될 수 있다. 불소 이용시 문제점이 존재할 수 있다. 먼저, 불소를 이용하면, 에칭 시 임계 치수 제어에 부정적 영향이 생기 수 있다. 이는 불소가 하드 마스크 물질 및 저유전율 유기 물질의 측방 에칭을 일으킬 수 있기 때문이다.
따라서, 집적 회로의 피쳐 에칭 중 임계 치수 제어를 우수하게 유지하면서 상기 에칭 중 그래스 형성을 완화시키고 제거하는 방법이 요구된다.
마지막으로, 기존의 집적 회로 제작 장비를 이용하여 이 장점들이 실현될 수 있다면 더욱 바람직할 것이다.
집적 회로 웨이퍼에서 피쳐 에칭을 위한 본 발명의 목적에 따라 앞서의 목적 및 다른 목적을 달성하기 위해, 하나 이상의 유전체층을 포함하는 웨이퍼가 반응 챔버 내에 배치된다. 탄화수소 첨가제 및 활성 에칭제를 포함하는 에칭제 기체가 반응 챔버 내부로 유입된다. 반응 챔버 내에서 에칭제 기체로부터 플라즈마가 형성된다. 이 피쳐는 유전체층의 적어도 일부에서 에칭된다.
적절한 탄화수소의 여러 소스가 본 발명의 구현을 위해 적절한 것으로 간주된다. 이 소스들은 에틸렌(C2H4), 에탄(C2H6) 및 메탄(CH4)을 포함할 수 있지만 구체적으로 이에 한정되지는 않는다.
도 1a는 에칭 전 웨이퍼의 개략적 단면도.
도 1b는 종래 기술의 에칭 방법을 이용한 에칭 중 도 1a에 도시된 웨이퍼의 개략적 단면도.
도 1c는 도 1b의 단면 A의 확대 단면도.
도 2는 본 발명의 일 실시예에 따른 고레벨 순서도.
도 3a는 에칭 전 웨이퍼의 개략적 단면도.
도 3b는 본 발명의 바람직한 실시예를 이용한 에칭 시 도 3a에 도시된 웨이퍼의 개략적 단면도.
도 3c는 도 3b의 단면 B의 확대 단면도.
도 4a는 테스트 에칭에 사용될 수 있는 웨이퍼의 개략적 단면도.
도 4b는 반사방지 코팅이 에칭된 후 도 4a의 웨이퍼의 개략적 단면도.
도 4c는 하드 마스크층이 에칭된 후 도 4b의 웨이퍼의 개략적 단면도.
도 4d는 유전체층이 에칭된 후 도 4c의 웨이퍼의 개략적 단면도.
도 5는 3 가지 테스트 예에 사용되는 공정 순서도.
본 발명은 다양한 피쳐 크기 및 형태를 포함하는 웨이퍼들 에칭하기 위해 탄화수소 첨가제를 포함하는 신규한 에칭 화학공정을 소개한다. 이러한 웨이퍼들은 저유전율 유전체, 특히 실크와 같은 유기 물질의 유전체을 포함할 수 있다. 여기서 제시되는 방법은 에칭 시 스퍼터링된 하드 마스크 성분들에서 마이크로마스크가 형성되는 것을 제거한다. 또한, 여기서 제시되는 방법은 RIE 래그를 최소한으로 하고, 에칭 공정에 의해 형성되는 비아 및 트렌치가 휘는 것을 최소한으로 하며, 에칭 프로파일, 레지스트 선택성, 에칭 속도, 임계 치수 제어 및 에칭 균일성을 우수하게 한다.
실크와 같은 저유전율 유기 유전체층을 포함하는 웨이퍼에서 트렌치 및 비아를 포함하지만 구체적으로 이에 한정되지 않는 다양한 피쳐들을 에칭하기 위해, 본 발명은 에칭시 첨가제로서 탄화수소, 가령 CH4, C2H04 및 C2H6 를 이용한다. 본 발명은 C2H4/H2/N2 에칭제 기체, C2H4/NH3 에칭제 기체, 또는 C2H4/O2/N2 에칭제 기체를 제공할 수도 있다.
도 2를 참조하면, 본 발명의 공정 (100) 을 구현하기 위해, 에칭 플라즈마를 형성할 수 있는 반응 용기 내에 웨이퍼가 배치된다 (단계 102). 이 반응 용기나 챔버는 단일 용도의 에칭 장비 품목일 수도 있고, 다용도 웨이퍼 공정 시스템일 수도 있다. 본 발명의 구현에 적합한 하나의 장비는 미국 캘리포니아 프리몬트 소재 램 리서치 사에서 통용되는 ExelanTM 건식 에칭 시스템이다. ExelanTM은 하드 마스크 오픈, 무기질 및 유기질 반사방지코팅 에칭, 그리고 포토레지스트 스트립을 단일 챔버 내에서 "인 시튜 (in situ)" 로 실시할 수 있다. 대안의 장비들도 물론 사용될 수 있다. 상부 표면에 패터닝된 포토레지스트층이 놓인 웨이퍼가 챔버 내에 배치되고 (단계 102), 에칭 플라즈마가 충돌한다 (단계 104). 에칭제 기체가 챔버 내부로 유입된다 (단계 106). 에칭제는 메탄 (CH4), 에탄 (C2H6) 및 에틸렌 (C2H4) 으로 이루어지는 그룹으로부터 선택되는 것들을 포함하지만 구체적으로 이에 한정되지 않는 하나 이상의 탄화수소와 활성 에칭제를 포함한다. 활성 에칭제는 산소, 수소, 암모니아, 또는 그 외 특정 유전체 에칭에 효과적이라고 당업자에게 공지된 다른 에칭제를 포함할 수 있다. 유전체 에칭 이전에 다른 플라즈마 공정이 실시될 경우, 플라즈마가 에칭제 유입 이전에 충돌을 일으킬 수 있다. 그러나, 또다른 실시예에서는 플라즈마가 에칭제 기체를 이용하여 충돌을 일으키도록 플라즈마 충돌 이전에 에칭제 기체가 유입될 수 있다.
원하는 피쳐가 완성되면 (단계 108), 웨이퍼는 요구되는 추가 공정을 진행할 수 있다 (단계 110).
특정 바람직한 실시예의 성공적인 논의사항은 단일 에칭 단계에 중점을 두고 있지만, 본 발명이 다-단계 에칭 기법의 일부로 편리하게 구현될 수 있음을 당업자라면 이해할 수 있을 것이다. 본 발명은 여기서 공개되는 원리를 포함하고 유전체 에칭 기법을 포함한, 모든 이러한 다-단계 에칭 기법을 구체적으로 고려한다.
도 3a를 참조하면, 패터닝된 포토레지스트층 (310) 을 가지는 일례의 웨이퍼 (301) 가 도시된다. 본 예에서, 웨이퍼 (301) 는 상부에 탄화규소나 질화규소의 장벽층이 증착된 실리콘 기판 (322) 을 포함한다. 장벽층 (320) 위에는 유전체층 (318) 이 증착되며, 유전체층 (318) 은 다우 케미컬 사의 실크와 같은 저유전율 유기 유전체이다. 도시되지 않은 금속 구조물이 장벽층 (320) 하부에 형성될 수 있다. SiO2의 하드 마스크층 (316) 이 유전체층 (318) 위에 증착되어, 본 예의 웨이퍼 적층구조를 완성한다. 패터닝된 포토레지스트층 (310) 이 하드 마스크 (316) 위에 적용된다. 물론, 이 웨이퍼 적층구조는 하나의 예시일 뿐이라는 것을 당업자는 알 수 있다.
웨이퍼 (301) 가 반응 챔버 내에 위치한다 (단계 102). 에칭 플라즈마가 충돌한다 (단계 104). 탄화수소 첨가제를 포함하는 에칭제 기체가 챔버 내부로 유입된다 (단계 106). 일 실시예에 따르면, 이 에칭제 기체는 질소 (N2), 수소 (H2), 및 에틸렌 (C2H4) 을 포함하는 혼합물이다. 이 혼합물은 물론 공정에 따른 전용 물질이며, 대안의 에칭제 및 희석제를 포함하는 대안의 에칭 단계들이 본 발명에 따른 탄화수소를 포함한 에칭 단계 전후로 사용될 수 있다.
도 3b를 참조하면, 에칭 공정, 특히 유전체층 (318) 의 에칭이 진행됨에 따라, 포토레지스트층 (310) 이 에칭 제거되어, 아래의 하드 마스크층 (316) 의 일부가 노출된다. 도 3c에서 단면 "A"가 확대되었다. 탄화수소 첨가제는 하드 마스크층 (316) 과 포토레지스트층 (310) 위에 얇은 층 (340) 을 형성하고, 이는 하드 마스크층 (316) 의 스퍼터링 방지를 돕는다. 하드 마스크층 (316) 의 스퍼터링을 방지하거나 크게 감소시킴으로서, 본 발명의 방법은 도 3c에 도시된 바와 같이 피쳐 하부에 마이크로마스킹과 "그래스" 를 방지하거나 크게 감소시킴으로써 보다 균일하고 규칙적인 에칭을 제공한다.
일반적으로, 약 10MHz 내지 약 60MHz 의 주파수를 가진 전원이 고주파수 전력 레벨을 제공할 수 있다. 더 바람직하게 고주파수 전력 레벨은 20MHz 내지 35MHz 사이의 주파수를 갖는다. 가장 바람직하게, 고주파수 전력 레벨은 25MHz 와 30MHz 사이의 주파수를 갖는다. 바람직한 실시예에서 고주파수 전력 레벨은 약 27MHz의 주파수를 갖는다. 유전체 에칭에 대한 고주파수 전력 레벨은 약 250W 내지 약 2500W이다. 더 바람직하게, 고주파수 전력 레벨은 약 250W 내지 약 1500W로 형성된다. 더욱 더 바람직하게, 고주파수 전력 레벨은 500W 내지 900W 사이로 설정된다. 가장 바람직하게, 고주파수 전력 레벨은 약 700W로 설정된다.
일반적으로, 약 0.25MHz 내지 약 7MHz 의 주파수를 가지는 전원이 저주파수 전력 레벨을 제공할 수 있다. 더 바람직하게, 저주파수 전력 레벨은 0.5MHz 내지 4MHz 사이의 주파수를 갖는다. 가장 바람직하게, 저주파수 전력 레벨은 1MHz 와 3MHz 사이의 주파수를 갖는다. 바람직한 실시예에서, 저주파수 전력 레벨은 약 2MHz의 주파수를 갖는다. 저주파수 전력 레벨은 약 0W 내지 약 100W로 설정된다. 더 바람직하게, 저주파수 전력 레벨은 약 0W 내지 약 50W이다. 더욱 더 바람직하게, 저주파수 전력 레벨은 0W 내지 25W 사이로 설정된다. 가장 바람직하게, 저주파수 전력 레벨은 약 0W로 설정된다.
에칭제 기체는 탄화수소 첨가제와 활성 에칭제를 포함한다. 탄화수소는 메탄 (CH4), 에탄 (C2H6), 및 에틸렌 (C2H4) 으로 이루어진 그룹으로부터 선택되는 탄화수소일 수 있다. 탄화수소 첨가제의 유량에 대한 활성 에칭제 유량의 비는 10,000:1 내지 100:50이다. 더 바람직하게, 탄화수소 첨가제 유량에 대한 활성 에칭제 유량의 비는 1000:1 내지 500:25이다. 가장 바람직하게, 탄화수소 첨가제 유량에 대한 활성 에칭제 유량의 비는 1000:2 내지 1000/15이다. 바람직하게, 1sccm 이상의 탄화수소 첨가제가 제공된다. 더 바람직하게, 3 과 300 sccm 사이의 탄화수소 첨가제가 제공된다. 가장 바람직하게, 5와 10sccm 사이의 탄화수소 첨가제가 제공된다.
에칭은 특정 시간 주기동안 제어된 온도에서 진행된다. 이하 설명되는 본 예에서, 제1 에칭은 0℃와 60℃ 사이에서 진행될 수 있다. 더 바람직하게 약 5℃ 내지 약 50℃에서 진행될 수 있다. 더욱 더 바람직하게 약 7℃ 내지 약 40℃에서 진행될 수 있다. 또한, 온도 제어를 위해, 웨이퍼 온도가 척, 가령 정전 척 (electrostatic chuck; ESC) 을 통한 냉각 기체 유입에 의해 열적으로 관리되며, 척은 반응 챔버에서 웨이퍼를 보존한다. 이러한 냉각 기체, 가령 헬륨의 유량은 약 1sccm 내지 약 100sccm이고, 더 바람직하게 약 2sccm 내지 약 50sccm이고, 더욱 더 바람직하게 약 10sccm 내지 약 40sccm이며, 가장 바람직하게 약 31sccm이다.
에칭 시간은 수초에서 약 10분까지 변할 수 있으며 상황에 따라 달라진다. 본 예에서, 가장 바람직하게 전력 설정, 기체 유량 및 온도에서 에칭을 실시할 때 약 60 초에서 에칭이 실현되었다.
예 :
테스트는 발명의 작업을 확인하기 위해 시도되었다. 도 4a는 테스트 에칭에 사용될 수 있는 웨이퍼의 개략적 단면도이다. 도 4a의 웨이퍼 (400) 는 기판 (408) 위에 위치한 유전체층 (404) 을 포함한다. 본 예에서, 유전체층 (404) 은 플레어 (FlareTM) 이다. 본 예에서, 유전체층 (404) 위에 하드 마스크층 (412) 이 놓인다. 하드 마스크층 (412) 위에 하부 반사방지코팅 (416) 이 놓인다. 하드 마스크층 (412) 위에 포토레지스트 마스크 (420) 가 놓인다.
삭제
삭제
삭제
도 5는 3 가지 예에 사용되는 공정의 순서도이다. 3가지 예 모두에서, 먼저 반사 방지코팅(본 예에서 BARC (416))이 에칭된다 (단계 504). 도 4b는 반사방지코팅 (416)이 에칭된 후 도 4a의 웨이퍼의 개략적 단면도이다. 그 후, 하드 마스크층 (412) 이 에칭된다 (단계 508). 도 4c는 하드 마스크층 (412) 이 에칭된 후 도 4b의 웨이퍼의 개략적 단면도이다. 그 후, 유전체층 (404) 상에서 제 1 유전체층 에칭이 실시된다 (단계 512). 마지막으로, 유전체층 (404) 상에서 제 2 유전체층 에칭이 실시되어 (단계 516), 유전체층(404) 에칭을 완료한다. 제 1 및 제 2 유전체층 에칭시, 포토레지스트층 (420) 과 유전체층 (404) 간에 낮은 선택도를 유발하는, 포토레지스트층 (420) 과 유전체층 (404) 간의 유사성으로 인해, 포토레지스트층 (420) 이 에칭 제거될 수 있다. 도 4d는 유전체층 (404) 이 에칭된 후 도 4c의 웨이퍼의 개략적 단면도이다.
3가지 예 모두에서, 반사방지 코팅의 에칭 (단계 504) 이 70 mtorr의 압력에서 실시된다. 상부 및 하부 전극 중 하나 또는 둘 모두에 연결된 27MHz 전원이 고주파수 전력을 제공하는데 사용된다. 고주파수 전력 레벨은 500W에서 제공된다. 상부 및 하부 전극 중 하나 또는 둘 모두에 연결된 2MHz 전원이 저주파수 전력을 제공하는데 사용된다. 저주파수 전력 레벨은 1000W이다. 에칭제 기체는 아르곤 (Ar) 인 희석제와, 산소(O2), C4F8 및 CF4인 활성 에칭제를 포함한다. 아르곤은 160 sccm의 유량으로 제공된다. O2는 15 sccm의 유량으로 제공되며, C4F8은 5 sccm의 유량으로 제공된다. CF4는 40 sccm으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 반사방지코팅이 관통 에칭될 때까지 계속될 수 있다.
3가지 예 모두에서, 하드 마스크 코팅의 에칭 (단계 504) 이 55mtorr 압력에서 실시된다. 고주파수 전력 레벨은 1400W로 제공된다. 저주파수 전력 레벨은 1000W이다. 에칭제 기체는 아르곤 (Ar) 인 희석제와, 산소(O2) 및 C4F8인 활성 에칭제를 포함한다. Ar은 약 140sccm의 유량으로 제공된다. O2는 9sccm으로 제공된다. C4F8은 15 sccm으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 하드 마스크층 (412) 이 관통 에칭될 때까지 계속될 수 있다.
제 1 예 - 탄화수소 첨가제가 없는 조정 테스트
제 1 예에서, 제 1 유전체층 에칭 (단계 512) 이 160 mtorr의 압력에서 실시된다. 고주파수 전력 레벨은 700W 로 제공된다. 저주파수 전력 레벨은 0W이다. 에칭제 기체는 아르곤 (Ar) 인 희석제와, 질소 (N2) 와 수소 (H2) 인 활성 에칭제를 포함한다. Ar은 약 100sccm의 유량으로 제공된다. N2는 750 sccm으로 제공된다. H2는 250sccm으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 유전체층 (404) 이 부분적으로 관통 에칭될 때까지 계속될 수 있다.
제 2 유전체층 에칭 (단계 516) 이 160 mTorr의 압력에서 실시된다. 고주파수 전력 레벨은 700W로 제공된다. 저주파수 전력 레벨은 0W이다. 에칭 소스 기체는 질소 (N2) 와 수소 (H2)인 활성 에칭제를 포함한다. N2는 750sccm으로 제공된다. H2는 250 sccm으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 유전체층 (404) 이 완전히 관통 에칭될 때까지 계속될 수 있다.
본 예에서, 유전체층의 에칭속도는 2128 Å/분이다. 에칭 속도 균일성은 2.4% 미만이었다. RIE 래그는 -4.4% 보다 컸다. 본 예는 도 1c에 도시된 바와 같은 상당한 양의 마이크로마스킹 및 이로 인한 그래스를 유발하였다.
제2 예 - 탄화수소 첨가제를 갖는 테스트
제2 예에서, 제 1 유전체층 에칭 (단계 512) 이 160 mtorr의 압력에서 실시된다. 고주파수 전력 레벨은 700W로 제공된다. 저주파수 전력 레벨은 0W이다. 에칭제 기체는 아르곤 (Ar) 인 희석제와, 질소 (N2) 와 수소 (H2) 인 활성 에칭제, 및 C2H4인 탄화수소첨가제를 포함한다. Ar은 약 100 sccm의 유량으로 제공된다. N2는 750 sccm으로 제공된다. H2는 250 sccm으로 제공된다. C2H4는 5 sccm의 유량으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 유전체층 (404) 이 부분적으로 관통 에칭될 때까지 계속될 수 있다.
제 2 유전체층 에칭 (단계 516) 이 160 mTorr에서 실시된다. 고주파수 전력 레벨은 700W로 제공된다. 저주파수 전력 레벨은 0W이다. 에칭제 기체는 질소 (N2)와 수소 (H2)의 활성 에칭제와, C2H4의 탄화수소 첨가제를 포함한다. N2는 750sccm의 유량으로 제공된다. H2는 250 sccm으로 제공된다. C2H4는 5 sccm으로 제공된다. ESC는 0℃로 유지된다. 이 단계는 유전체층 (404) 이 완전히 관통 에칭될 때까지 계속될 수 있다.
본 예에서, 유전체층의 에칭속도는 1797 Å/분이다. 에칭 속도 균일성은 3.6% 미만이었다. RIE 래그는 -9.4%보다 컸다. 본 예는 마이크로마스킹의 양 및 이로 인한 그래스를 상당히 감소시키거나 제거하여, 도 3c에 도시된 바와 같이 그래스가 없는 피쳐들을 제공하였다.
제3 예 - 탄화수소 첨가제를 갖는 테스트
제3 예에서, 제 1 유전체층 에칭(단계 512)이 160 mtorr의 압력에서 실시된다. 고주파수 전력 레벨은 700W로 제공된다. 저주파수 전력 레벨은 0W이다. 에칭제 기체는 아르곤 (Ar) 인 희석제와, 질소(N2)와 수소(H2)인 활성 에칭제, 및 C2H4인 탄화수소첨가제를 포함한다. Ar은 약 100sccm의 유량으로 제공된다. N2는 750 sccm으로 제공된다. H2는 250sccm으로 제공된다. C2H4는 10sccm의 유량으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 유전체층 (404) 이 부분적으로 관통 에칭될 때까지 계속될 수 있다.
제 2 유전체층 에칭 (단계 516) 이 160 mTorr의 압력에서 실시된다. 고주파수 전력은 700W로 제공된다. 저주파수 전력은 0W이다. 에칭제 기체는 질소 (N2) 와 수소 (H2)의 활성 에칭제와, C2H4의 탄화수소 첨가제를 포함한다. N2는 750sccm의 유량으로 제공된다. H2는 250sccm으로 제공된다. C2H4는 10sccm으로 제공된다. ESC는 약 0℃로 유지된다. 이 단계는 유전체층 (404) 이 완전히 관통 에칭될 때까지 계속될 수 있다.
본 예에서, 유전체층의 에칭속도는 1590 Å/분이다. 에칭 속도 균일성은 4.2% 미만이었다. RIE 래그는 -17.6%보다 컸다. 본 예는 마이크로마스킹의 양 및 이로 인한 그래스를 상당히 감소시키거나 제거하여, 도 3c에 도시된 바와 같이 그래스가 없는 피쳐들을 제공하였다.
본 발명의 공정은 여러 가지 신규한 장점들을 제공한다. 이러한 장점들 중 첫 번째 장점은 앞서 설명한 마이크로마스킹이 에칭 속도를 저하시키는 효과 없이 에칭이 진행될 수 있다는 사실이다. 물론, 상이한 두께들을 가지는 상이한 물질 또는 상이한 공정 파라미터들을 가지고 수행되는 대안의 실시예들이 더 크거나 더 작은 에칭 속도를 생성할 수 있다.
두 번째 장점은 본 발명의 방법에 의해 구현되는 프로파일 제어 정도가 대단하다는 것이다.
이론에 구속되는 것 없이 탄화수소 첨가제가 폴리머를 형성하고, 폴리머가 탄화수소 첨가제로부터 동시에 연속적으로 형성되고 포토레지스트 마스크 및 또는 이들 중 하나의 표면에 증착되어, 에칭 시 제거된다는 것이 인정된다. 이 폴리머는 하드 마스크층에 들어오는 이온들의 유효 에너지를 감소시켜서, 하드 마스크의 스퍼터링을 제거하거나 적어도 크게 감소시키므로, 마이크로마스킹 효과를 제거하거나 크게 감소시킬 수 있다.
본 발명은 저유전율 유기 유전체층과 포토레지스트층간 에칭 선택도가 서로 매우 비슷할 정도로 저유전율 유기 유전체층이 포토레지스트와 유사한 성질을 가지기 때문에, 저유전율 유기 유전체층의 에칭에 가장 유용할 수 있다. 그 결과, 포토레지스트층이 에칭 시 에칭 제거될 수 있다. 이러한 이유로, 포토레지스트층이 에칭 제거된 후, 임계 치수를 유지하기 위한 패터닝를 제공하는 데 하드 마스크층이 사용될 수 있다. 탄화수소 첨가제 없이 포토레지스트층이 하드 마스크층까지 에칭되면, 하드 마스크가 스퍼터링될 수 있다. 탄화수소 첨가제는 하드 마스크 스퍼터링을 크게 감소시킬 수 있게 하는 층을 형성한다. 에칭 마스크가 하드 마스크 하나인 다른 실시예에서, 유전체층이 저유전율이 아니거나 무기질일 경우라도 탄화수소 첨가제를 이용하는 것이 바람직하게 된다.
이온 밀도 및 이온 에너지를 낮은 수준으로 유지하면, 그래스를 제거하는데 불소가 요구되지 않는다. 높은 이온 밀도에서는 스퍼터링된 물질로 휘발성 물질을 형성하는데 불소가 요구될 수 있으므로, 이 휘발성 물질이 재증착없이 챔버로부터 제거될 수 있다. 그러나 낮은 이온 밀도에서는, 탄화수소만이 스퍼터링을 충분히 방지할 수 있다. 낮은 이온 밀도는 플라즈마에 대한 낮은 전력을 가짐으로써 달성될 수 있다. 고주파수 (27MHz 수준) 전력만을 제공하고 저주파수 (2MHz 수준) 전력을 제공하지 않으면, 이온 에너지가 저주파수 전력에 의해 증가되기 때문에, 이온 에너지가 낮게 유지될 수 있다. 플라즈마 밀도 감소로 인해, 에칭 속도가 저하될 수 있으나, 여전히 만족스런 에칭 속도를 제공할 수 있다. 낮은 에칭 속도가 만족스러울 수 있는 이유는 저유전율 유전체층이 얇기 때문이다.
불소가 발명에 사용되지 않기 때문에, 임계 치수 제어가 개선되고 휨이 감소될 수 있다.
본 발명의 구체적 특징은, 마이크로마스킹 및 그래스를 제거하거나 현저하게 감소시키면서, 프로파일 제어가 우수하고, RIE 래그가 최소한이며, 에칭 공정에 의해 형성되는 비아의 휨이 최소한이고, 에칭 프로파일, 레지스트 선택도, 및 에칭 균일성 등이 우수하며, 크기를 폭넓게 변화시킬 수 있는 피쳐들을 형성하는 신규한 능력을 갖는다는 것이다.
본 발명이 몇몇 바람직한 실시예에 의하여 설명되었지만, 본 발명의 범위 내에서 변경, 변환 및 동일물 치환이 있을 수 있다. 또한, 본 발명의 방법들 및 장치들을 구현하기 위한 많은 대안의 방식이 있을 수 있음이 주시될 수 있다. 따라서 본 발명의 사상 및 범위 내에 있는 이러한 모든 변경, 변환 및 동일물 치환을 포함하는 것으로 해석되는 다음의 첨부된 청구범위가 의도된다.

Claims (18)

  1. 웨이퍼의 유전체층에서 피쳐를 에칭하는 방법으로서,
    반응 챔버 내에 상기 웨이퍼를 배치하는 단계;
    상기 반응 챔버 내부로 N2 및 H2를 포함하는 활성 에칭제와, 탄화수소 첨가제를 포함하는 에칭제 기체를 유입시키는 단계로서, 상기 탄화수소는 CH4, C2H4, 및 C2H6 로 이루어진 그룹으로부터 선택되고, 상기 탄화수소의 유량은 1sccm 이상이며, 상기 탄화수소 첨가제 유량에 대한 상기 활성 에칭제 유량의 비가 10,000:1 내지 100:50 인 상기 에칭제 기체를 유입시키는 단계;
    상기 반응 챔버 내에서 상기 에칭제 기체로부터 플라즈마를 형성하는 단계;
    하드 마스크층 아래에 있는 상기 유전체층의 적어도 일부에서 피쳐를 에칭하는 단계; 및
    하드 마스크 스퍼터링을 감소시키기 위해 상기 탄화수소로부터 상기 하드 마스크층 위에 폴리머층을 형성함과 동시에 상기 폴리머층을 에칭 제거하는 단계를 포함하는 피쳐 에칭 방법.
  2. 제 1 항에 있어서,
    상기 탄화수소가 3 내지 30 sccm 범위의 유량을 가지며, 상기 에칭제 기체가 불소를 포함하지 않는 피쳐 에칭 방법.
  3. 제 2 항에 있어서,
    상기 하드 마스크가 포토레지스트 마스크 아래에 배치되고, 상기 유전체층이 유기 유전체층인 피쳐 에칭 방법.
  4. 제 3 항에 있어서,
    하드 마스크 에칭을 실시하는 단계를 더 포함하는 피쳐 에칭 방법.
  5. 제 1 항에 있어서,
    상기 탄화수소 첨가제 유량에 대한 상기 활성 에칭제 유량의 비가 1,000:1 내지 500:25 인 피쳐 에칭 방법.
  6. 제 1 항에 있어서,
    상기 탄화수소 첨가제 유량에 대한 상기 활성 에칭제 유량의 비가 1,000:2 내지 1,000:15 인 피쳐 에칭 방법.
  7. 웨이퍼의 유전체층에서 피쳐를 에칭하는 방법으로서, 상기 유전체층이 하드 마스크 층 아래에 있으며,
    반응 챔버 내에 상기 웨이퍼를 배치하는 단계;
    상기 반응 챔버 내부로 N2 및 H2를 포함하는 활성 에칭제와, 탄화수소 첨가제를 포함하는 에칭제 기체를 유입시키는 단계로서, 상기 탄화수소 첨가제의 유량에 대한 상기 활성 에칭제 유량의 비가 1,000:1 내지 500:25인 상기 에칭제 기체를 유입시키는 단계;
    상기 반응 챔버 내에서 상기 에칭제 기체로부터 플라즈마를 형성하는 단계;
    하드 마스크 에칭을 실시하는 단계;
    하드 마스크 스퍼터링을 감소시키기 위해 폴리머층을 상기 하드 마스크층 위에 형성함과 동시에 상기 폴리머층을 에칭 제거하는 단계; 및
    상기 유전체층의 적어도 일부에서 상기 피쳐를 에칭하는 단계를 포함하는 피쳐 에칭 방법.
  8. 제 7 항에 있어서,
    상기 탄화수소가 1sccm 이상의 유량을 가지며, 상기 에칭제 기체가 불소를 포함하지 않는 피쳐 에칭 방법.
  9. 제 8 항에 있어서,
    상기 하드 마스크가 포토레지스트 마스크 아래에 배치되고, 상기 유전체층이 유기 유전체층인 피쳐 에칭 방법.
  10. 제 8 항에 있어서,
    상기 탄화수소 첨가제 유량에 대한 상기 활성 에칭제 유량의 비가 1,000:2 내지 1,000:15 인 피쳐 에칭 방법.
  11. 웨이퍼의 유전체층에서 피쳐를 에칭하는 방법으로서,
    반응 챔버 내에 상기 웨이퍼를 배치하는 단계;
    상기 반응 챔버 내부로 N2 및 H2를 포함하는 활성 에칭제와, 탄화수소 첨가제를 포함하는 에칭제 기체를 유입시키는 단계로서, 상기 탄화수소 첨가제의 유량에 대한 상기 활성 에칭제 유량의 비가 10,000:1 내지 100:50인 에칭제 기체를 유입시키는 단계;
    상기 반응 챔버 내에서 상기 에칭제 기체로부터 플라즈마를 형성하는 단계; 및
    상기 유전체층의 적어도 일부에서 상기 피쳐를 에칭하는 단계를 포함하는 피쳐 에칭 방법.
  12. 제 11 항에 있어서,
    상기 탄화수소 첨가제 유량에 대한 상기 활성 에칭제 유량의 비가 1,000:1 내지 500:25이고, 상기 에칭제 기체가 불소를 포함하지 않는 피쳐 에칭 방법.
  13. 제 11 항에 있어서,
    상기 탄화수소 첨가제 유량에 대한 상기 활성 에칭제 유량의 비가 1,000:2 내지 1,000:15인 피쳐 에칭 방법.
  14. 제 1 항에 있어서,
    상기 활성 에칭제는 환원 기체를 더 포함하는 피쳐 에칭 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
KR1020037010534A 2001-02-12 2002-02-07 피쳐 에칭 방법 KR100854609B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/782,437 US6620733B2 (en) 2001-02-12 2001-02-12 Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US09/782,437 2001-02-12
PCT/US2002/003615 WO2002065530A2 (en) 2001-02-12 2002-02-07 Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics

Publications (2)

Publication Number Publication Date
KR20030093204A KR20030093204A (ko) 2003-12-06
KR100854609B1 true KR100854609B1 (ko) 2008-08-27

Family

ID=25126055

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037010534A KR100854609B1 (ko) 2001-02-12 2002-02-07 피쳐 에칭 방법

Country Status (6)

Country Link
US (1) US6620733B2 (ko)
KR (1) KR100854609B1 (ko)
CN (1) CN1286153C (ko)
AU (1) AU2002247089A1 (ko)
TW (1) TW535197B (ko)
WO (1) WO2002065530A2 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
JP2003059906A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc エッチング方法およびキャパシタを形成する方法
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
US7217665B2 (en) 2002-11-20 2007-05-15 Applied Materials, Inc. Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
WO2004027826A2 (en) * 2002-09-18 2004-04-01 Mattson Technology, Inc. System and method for removing material
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP4594235B2 (ja) * 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
CN1327495C (zh) * 2003-01-02 2007-07-18 上海华虹(集团)有限公司 一种含硅低介电常数材料的干法刻蚀工艺
US6803307B1 (en) * 2003-06-27 2004-10-12 Macronix International Co., Ltd. Method of avoiding enlargement of top critical dimension in contact holes using spacers
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
CN100414671C (zh) * 2004-10-14 2008-08-27 宋国隆 一种晶片精准蚀刻的方法
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
CN101535021A (zh) 2005-12-08 2009-09-16 分子制模股份有限公司 用于衬底双面图案形成的方法和系统
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
CN101622376B (zh) * 2007-01-30 2012-04-04 朗姆研究公司 使用超临界溶剂在半导体基片上形成金属膜的组合物和方法
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20100304504A1 (en) * 2009-05-27 2010-12-02 Canon Anelva Corporation Process and apparatus for fabricating magnetic device
US20100301008A1 (en) * 2009-05-27 2010-12-02 Canon Anelva Corporation Process and apparatus for fabricating magnetic device
CN101930480B (zh) * 2009-06-19 2012-03-07 中芯国际集成电路制造(上海)有限公司 优化cmos图像传感器版图的方法
CN103137463A (zh) * 2011-11-30 2013-06-05 上海华虹Nec电子有限公司 深沟槽刻蚀工艺针刺状缺陷的解决方法
CN116313783B (zh) * 2023-05-10 2023-09-01 合肥晶合集成电路股份有限公司 金属的刻蚀方法以及半导体器件

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114250A (en) 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
WO2000067308A1 (en) * 1999-05-05 2000-11-09 Lam Research Corporation Techniques for etching a low capacitance dielectric layer

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6294933A (ja) 1985-10-22 1987-05-01 Toshiba Corp ドライエツチング方法
JPS6425419A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Etching
JPS6459820A (en) 1987-08-31 1989-03-07 Tokuda Seisakusho Dry etching
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
KR19980064028A (ko) 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6069091A (en) * 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
JP3501937B2 (ja) 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6105588A (en) 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6194128B1 (en) 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
JP3657788B2 (ja) 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
JP2003526897A (ja) 1998-10-19 2003-09-09 アプライド マテリアルズ インコーポレイテッド 後続のエッチング中のマスキングとして有用な、またはダマシン構造に有用な、パターニングされた層のエッチング方法
US6037255A (en) 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6265320B1 (en) * 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114250A (en) 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
WO2000067308A1 (en) * 1999-05-05 2000-11-09 Lam Research Corporation Techniques for etching a low capacitance dielectric layer

Also Published As

Publication number Publication date
CN1502119A (zh) 2004-06-02
US20020110992A1 (en) 2002-08-15
KR20030093204A (ko) 2003-12-06
WO2002065530B1 (en) 2003-07-24
AU2002247089A1 (en) 2002-08-28
US6620733B2 (en) 2003-09-16
WO2002065530A3 (en) 2003-05-15
WO2002065530A2 (en) 2002-08-22
TW535197B (en) 2003-06-01
CN1286153C (zh) 2006-11-22

Similar Documents

Publication Publication Date Title
KR100854609B1 (ko) 피쳐 에칭 방법
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6777344B2 (en) Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
KR100887911B1 (ko) 암모니아를 이용한 저유전율 유기 유전체 에칭 방법
US6653734B2 (en) Convertible hot edge ring to improve low-K dielectric etch
US6805139B1 (en) Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
WO1999033097A1 (en) Improved techniques for etching an oxide layer
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
KR101032831B1 (ko) 챔버 탈불화 및 웨이퍼 탈불화 단계들을 방해하는 플라즈마에칭 및 포토레지스트 스트립 프로세스
JPH06252107A (ja) ドライエッチング方法
KR20030020439A (ko) 반도체 애플리케이션내의 nh3 플라즈마 디스커밍 및레지스트 박리
US7192531B1 (en) In-situ plug fill
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US20030096504A1 (en) Method of dry etching for fabricating semiconductor device
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
US8357434B1 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
WO2006019849A1 (en) Low-k dielectric etch
KR100395663B1 (ko) SiLK 이중 다마신 공정

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120806

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130808

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140806

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150805

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160809

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170810

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180808

Year of fee payment: 11