TW558768B - Unique process chemistry for etching organic low-k materials - Google Patents

Unique process chemistry for etching organic low-k materials Download PDF

Info

Publication number
TW558768B
TW558768B TW091101911A TW91101911A TW558768B TW 558768 B TW558768 B TW 558768B TW 091101911 A TW091101911 A TW 091101911A TW 91101911 A TW91101911 A TW 91101911A TW 558768 B TW558768 B TW 558768B
Authority
TW
Taiwan
Prior art keywords
etching
sccm
item
watts
dielectric layer
Prior art date
Application number
TW091101911A
Other languages
English (en)
Inventor
Helen H Zhu
James R Bowers
Ian J Morey
Wayne Babie
Michael Goss
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW558768B publication Critical patent/TW558768B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

558768 A7 B7 經濟部智慈財產局8工消費合作社印製 五、發明説明(1) 發明範圍 本發明係關於半導體製備。更特別地,本發明係關於 半導體晶圓中之有機低k介電物之蝕刻。 發明背景 積體電路使用介電層,其基本上由二氧化矽si〇2形成 以隔絕在各種半導體結構層上的導電線。隨著半導體電路 越來越迅速且更緊密,操作頻率提高且在半導體裝置中之 導電線之間的距離降低。此提高電路偶合電容量,有著半 導體裝置操作緩慢的缺點。因此,使用能夠有效隔離導 線,以防止偶合電容量提高的介電層變得重要。 通常,積體電路中的電容與用以形成介電層之材料的 介電吊數k成正比。如則述者,傳統積體電路的介電層基 本上由Si 〇2形成,其介電常數約4·〇。隨著半導體裝置的線 密度和操作頻率的提高,S i 0 2形成的介電層無法有效地將 導電線隔絕至防止偶合電容提高所須的程度。 欲降低積體電路中的偶合電容量,半導體工業致力於 開發介電常數比S i 02來得低的材料,這樣的材料適用以形 成積體電路中的介電層。目前,已發展出多種有希望的材 料(有時稱爲μ低k材料”)。這些新介電物中的多者是有機化 合物。此說明書和申請專利範圍中,所謂的低k材料是指 介電常數低於3的材料。 低k材料包括’但不限於:苯並環丁烯或BCB; F1a 1 e ’ 新 澤 西 州 Morristown 的 Allied 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) r 1:- i I 1! 1 Is-ί I - -i --- - -- i — --^裝---- 訂 d -4- 558768 A7 _ B7_ 五、發明説明(2) (請先閲讀背面之注意事項再填寫本頁)
Signal®(Honeywel],Inc.,Minneapolis,MN 的分公司)製造;一 或多種 Parylene 二 聚 物 , 得 自 Union Cai_bide®Corporation,Danbury CT ;聚四氟乙燒或 PTFE ;及 SiLK®。一種適用於1C介電應用的PTFE是SPEEDFILMTM, 其得自 W.L.Gore & Associate,Inc,Newark,DE。SiLK®得自 Dow® Chemical Company,Midland,Michigan,是一種無石夕的 BCB 〇 使用習知形成圖樣和蝕刻方法,半導體晶圓加工期間 內,半導體裝置的特性結構受限於晶圓。這些方法中,光 阻(PR)材料澱積於晶圓上,之後暴於藉網線過濾的光。此網 線通常是玻璃板,其以特性結構(feature)幾何圖案(其阻斷 光以使其不會傳播通過網線)形成圖樣。 經濟部智慧財產局8工消費合作社印製 通過網線之後,光與光阻材料表面接觸。光改變光阻 材料的化學組成,使得顯影劑能夠移除一部分的光阻材 料。以正型光阻材料爲例,移除暴光區域,負型光阻材料 的例子中,移除非暴光區域。之後,晶圓經蝕刻以自不再 受到光阻材料保護的區域移除位於下方的材料,並藉此定 義出晶圓所欲特性結構位置。低k有機聚合物通常可藉氧 化(如:以氧爲基礎)或還原(如:以氫爲基礎)化學法移除。 介電物之蝕刻可以有利地於雙頻電容偶合(DFC)介電蝕 刻系統。其中的一者是 Lam® Research model Exelan Η P TM ’ 其得自 L a m ® R e s e a ι· c h C o r p ο ι· a t i ο η,F r e m ο n t C A 〇 Exelan HPTM系統在一個系統中進行範圍極廣泛的介電蝕刻 處理。程序包括形成接點和通道,二重(bilevel)接點、無邊 ^紙張尺度適用中國國家標準(CNS ) A4規格(210x 297公釐) " " 558768 經濟部智慈財產局員工消費合作社印製 A7 B7五、發明説明(3) 界接點、氮化物和氧化物區隔及鈍化。 先進的鈾刻系統(如:4520XLETM)在相同系統中進行數 種程序。藉由在單一系統中進行許多不同的半導體製造步 驟,可提高晶圓輸出量。更進步的系統在相同設備內完成 額外步驟。其非限制例有:Lam® Research Corporation的 ExelanTM系統,其爲能夠在單一設備中實施許多處理步驟的 乾蝕系統。ExelanTM有助於以單一槽使硬質光罩具開口、無 機和有機ARC蝕刻及於原處剝除光阻。此系統的延伸工作 包括在次0.1 8微米環境中所須之摻雜或未摻雜的氧化物和 低k介電物中之所有雙重刻花結構、接點、鑽通道、間隔 和鈍化蝕刻。當然,此處列舉的主要工作可以用於多種半 導體製造系統,特定言之,這些工作含括所有的這樣的替 代方案。 此處所謂的"於原處”是指在同一半導體製造設備中,未 自設備移出底質地於選定的底質(如:矽晶圓)上進行一或多 個程序。 許多目前的積體電路製造技術使用光阻剝除步驟,其 接續一或多個用以在晶圓上形成特性結構狀態之形成圖樣 的步驟。因爲許多光阻物之低k介電物(特別是有機低k介 電物,如:SiLK)的化學組成類似,以確保在晶圓蝕刻特性 結構的期間內,能夠良好控制輪廓,光阻物下方常使用硬 質光罩。 一個摻有硬質光罩層的晶圓積層例示於附圖1 a。此晶 圓1具已有圖樣的光阻層1 0。此實例中,晶圓1包括矽底 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X:29*7公釐) -6 - 558768 五、發明説明(4) (請先閱讀背面之注意事項再填寫本頁) 質22,其有碳化矽阻擋層20澱積於其上。澱積於阻擋層 20上的是低k介電物層14,如:Dow Corning的SiLKTM。 可以在阻擋層上形成一個金屬化的構造(未示)。一個硬質光 罩層澱積於有機層1 4上,構成晶圓積層例。硬質光罩可製 自Si〇2、ShN4或其他硬質光罩材料。已有圖樣的光阻層 1 〇,如前文所討論者,用於硬質光罩1 2上。當然,嫻於此 技術者知道此晶圓僅爲例子而已。嫻於此技藝者知道的替 代構造和膜可用以完成替代的積體電路設計。 現參考附圖1 b,作爲蝕刻程序,特別是有機低k介電 層(如:SiLK)之蝕刻,如示於附圖lb者,光阻層10被蝕 去,使得位於下方的部分硬質光罩層1 2外露。持續蝕刻, 硬質光罩層之離子轟擊也會將一部分的硬質光罩層1 2蝕 去’此如30處。一些被蝕去的硬質光罩材料重新澱積於反 應槽表面上。蝕刻期間內,至少一些此材料32進一步澱積 於經蝕刻特性結構位置底部,此如36處及”A”區域。微遮 量隨著蝕刻期間內RF電力的提高而提高。 經濟部智慧財產局員工消費合作社印製 區域υΑ”放大圖示於附圖ic中。參考此附圖,特性結構 處(如:26)蝕穿有機低k介電層14(如:SiLK)。由顯微照片 看出硬質光罩材料重新澱積於36,形成硬質光罩材料針狀 物34。此材料的肉眼可見外觀源自於所謂的”草(grass)”,其 爲噴濺的硬質光罩,其稍遮蔽SiLK且形成”草”。此微光罩 當然不僅會減緩特性結構處的蝕刻速率,同時也會使得特 性結構處不穩定且不規則,造成輪廓控制欠佳和產率降低 的極端情況。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -7- 558768 κι __ Β7 五、發明説明(5) (請先閲讀背面之注意事項再填寫本頁) 希望有方法能夠緩和(消除更佳)在蝕刻期間內於積體電 路特性結構處形成草的情況,並維持蝕刻期間內的CD(嚴格 尺寸)控制。 也希望方法能夠藉由側壁鈍化作用、減少有機低k材 料的側邊蝕刻地提供蝕刻期間內的良好輪廓控制。此方法 將有助於在有機低k材料中形成直立的特性結構輪廓。 另希望此方法能夠減少蝕刻期間內所不欲的光阻物腐 蝕情況。 另希望此方法能夠在硬質光罩暴於電漿(潔淨光阻物所 致)時立刻保護硬質光罩。 也希望此方法能夠於較寬的蝕刻電漿密度範圍作用。 爲有利於進一步晶圓加工和裝置總品質,希望此方法 提供無殘渣的表面。 爲了要維持晶圓高輸出率,也希望此方法能夠於用以 形成晶圓的製造設備內於原處實施。 最後,非常希望能夠使用已有的積體電路製造設備獲 致這些優點。 經濟部智慈財產局員工消费合作社印製 以較佳實施例的詳述部分和附圖更詳細地說明本發明 的這些和其他特徵。 發明槪述 本發明在蝕刻期間內添加氟化碳,以降低無機硬質光 罩材料的噴濺速率及光阻光罩的蝕刻速率。本發明亦提供 側壁保護作用,其可提供CD和輪廓控制並可以避免形成殘 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -8- 558768 A7 B7 五、發明説明(6) 澄,甚至於在使用高無線頻率電力時亦然。 (請先閲讀背面之注意事項再填寫本頁) 已經辨認出數種適當的氟化碳來源適合用以實施本發 明。這些包括,但不限於:甲基氟CH3F、二氟甲烷ch2F2 和三氟甲烷chf3。 附圖簡述 爲了要更瞭解本發明,下文中之較佳實施例之詳述參 考附圖。附圖中: 附圖la是在蝕刻之前,有具圖樣的光阻層施用於其上 的試驗用晶圓的截面圖。 附圖1 b是以前的技術中,蝕刻步驟之後,試驗用晶圓 的截面圖。 附圖1 c是附圖1 b中所示晶圓的部分放大截面圖。 附圖2是用以蝕刻有機低k介電層(作爲積體電路裝置 一部分)之方法的槪略流程除。 附圖3a是本發明的一個較佳實施例中,有具圖案之光 阻層施用於其上之試驗用晶圓在蝕刻之前的截面圖。 經濟部智慧財產局S工消费合作社印製 附圖3b是使用本發明作爲最終蝕刻劑以形成特性結構 形態的第三個步驟之後,試驗用晶圓的截面圖。 幾個附圖中,以相同編號代表本發明的相同或對等組 件。 元件對照表 1 晶圓 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -9- 558768 A7 B7 五、發明説明(7) 10 已有圖樣的光阻層 硬質光罩 有機低k介電物層 阻擋層 底質 特性結構處 特性結構處 12 14 20 22 24 26 經濟部智慧財產局B工消費合作社印紫 30 被蝕去的一部分硬質光罩層 32 被蝕去的硬質光罩材料 34 針狀物 36 有硬質光罩材料澱積之經蝕刻的特性結構處 底部 A 區域 . 1〇〇 來自先前處理步驟 102 將晶圓置於反應槽中 104 電漿撞擊 106 將包括氟化碳的蝕刻用流體引至槽中 108 蝕刻完成 no 至進一步加工步驟 較佳實施例之詳述 本發明提出一種新穎的蝕刻化學法,其摻有氟化碳以 在摻有低k介電物(特別是有機材料介電物,如:SiLK)的晶 圓中蝕刻各式各樣的特性結構尺寸和形狀。此處提出的方 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) i裝- 訂 d -10- 558768 A7 B7 _ 五、發明説明(8) (請先閲讀背面之注意事項再填寫本頁) 法使得蝕刻期間內噴濺的硬質光罩組份不會形成微遮物。 此方法另使得RIE減退較小,蝕刻法形成的溝槽和通道的 彎曲較小,蝕刻輪廓良好,阻抗選擇性良好,蝕刻速率良 好,CD控制良好且晶圓整體的蝕刻均勻度良好。 爲了要在包括有機低k介電層(如·· SiLK)的晶圓中鈾刻 各式各樣特性結構形態(包括,但不限於,溝槽和通道),本 發明的層使用氟化碳(如:甲基氟CH3F)作爲蝕刻期間內的 輔助物。本發明可以使用CH3F/H2/N2蝕刻氣體或CH3F/NH3 蝕刻氣體或CH3F/〇2/N2鈾刻氣體。 參考附圖2,爲實施本發明之方法1 00,晶圓置於能夠 形成蝕刻電漿的反應槽中。此反應槽或室可以是單一用途 蝕刻設備或者可以是多用途晶圓加工系統。一個特別適用 以實施本發明的設備是鈾系統,其由Lam R e a s e a ι· c h C 〇 r ρ 〇 r a t i ο η,F r e m ο n t,C A 提供。E X e 1 a η TM 能夠在單 一槽中使硬質光罩具開口,無機和有機ARC蝕刻和於原處 剝除光阻物。當然也可以使用其他設備。 經濟部智慧財產局員工消费合作社印製 已有已形成圖樣的光阻層施用於其上表面上的晶圓置 於槽中102,蝕刻氣流引至槽中104,以蝕刻電漿撞擊 1 06。此蝕刻劑包括至少一種氟化碳,包括,但不限定於, 甲基氟CH3F、二氟甲烷CHaF2和三氟甲烷CHF3。此活性蝕 刻劑可以包含氧、氫、氮、氨或有效用於特別欲蝕刻之介 電物的其他蝕刻劑。 完成所欲特性結構形態,1 08,晶圓視需要地用於進一 步處理。 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X 297公釐) -11 - 558768 A7 __ B7 _ 五、發明説明(9) (請先閲讀背面之注意事項再填寫本頁) 後續以單一蝕刻步驟爲中心地討論某些較佳實施例, 嫻於此技藝者知道本發明可以便利地作爲多步驟蝕刻程序 中的一部分。特定言之,本發明含括所有包括此處列舉之 介電物蝕刻程序的多步驟蝕刻程序。 參考附圖3a,所示者是有具圖樣的光阻層之晶圓1。 此實例中,晶圓1包括矽底質22,其有碳化矽阻擋層20澱 積於其上。澱積於阻擋層20上的是有機低k介電物層14, 如:D 〇 w C h e m i c a 1 C 〇 m p a n y 的 S i L K TM。可以在阻擋層 2〇(如:碳化矽或氮化矽)上形成一個金屬化的構造(未示)。 Si〇2硬質光罩層12澱積於有機低k層14上,構成晶圓積層 例。已有圖樣的光阻層1 〇,如前文所討論者,用於硬質光 罩1 2上。當然,嫻於此技術者知道此晶圓積層僅爲例子而 已。嫻於此技藝者知道的替代構造和膜可用以完成替代的 積體電路設計。 經濟部智慧財產局員工消費合作社印製 於102 ’晶圓置於反應槽中。於1 〇4,以蝕刻電漿撞 擊。於1 0 6,包括氟化碳的蝕刻氣流引至先前討論的雙頻蝕 刻設備,以自蝕刻氣體形成電漿。根據一個實施例,此蝕 刻氣體是包括氮N2 '氫H2和甲基氟CH^F之混合物。此混 合物當然非常適用於特定且交替的蝕刻步驟,可以在根據 本發明的N Η3蝕刻步驟之前或之後使用替代蝕刻劑和稀釋 劑。 根據本發明的一個實施例,進行蝕刻步驟的槽壓介於〇 和500毫托耳之間,以介於1〇和25〇毫托耳之間爲佳,介 於20和160毫托耳之間更佳,約13〇毫托耳最佳。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) -12- 558768 A7 _ B7 _____ 五、發明説明($ (請先閲讀背面之注意事項再填寫本頁) 於電力約100瓦至約2500瓦形成電漿的上限頻率。以 於電力約250瓦至約1500瓦形成電漿的上限頻率爲佳。此 電力設定於約500瓦至約1 500瓦之間更佳。上限頻率電力 設定於約1000瓦最佳。此實施例中,使用27MHz電源提供 上限頻率電力。 下限頻率電力由約0瓦至約2500瓦。以於電力約0瓦 至約1 000瓦形成電漿的下限頻率爲佳。此電力設定於約0 瓦至約100瓦之間更佳。下限頻率電力設定於約0瓦最 佳。此實施例中,使用2MHz電源提供下限頻率電力。 蝕刻氣體之混合物以由蝕刻氣體構份流構成爲佳。此 包括氫Η 2,其流率由約3 s c c m至約1 0 0 0 s c c m,約2 5 s c c m至 約 5 0 0 s c c m 較佳,約 5 0 s c c m 至約 3 5 0 s c c m 更佳,約 2 5 0 s c c m 最佳。 第一種蝕刻氣體亦包含氮N2作爲稀釋劑,其流率約 0 s c c m 至約 2 5 0 0 s c c m,約 5 0 s c c m 至約 1 2 5 0 s c c m 較佳,約 1 0 0 s c c m 至約 1 0 0 0 s c c m 更佳,約 7 5 0 s c c m 最佳。 經濟部智慧財產局員工消費合作社印製 此蝕刻劑另包括甲基氟CH3F,其流率約0.5 seem至約 5 0 s c c in,約 1 s c c m 至約 3 0 s c c m 較佳,約 2 s c c m 至約 1 0 s c c in 更佳,約3 s c c m最佳。 蝕刻程序於控制溫度進行特定時間。所討論的例子 中,第一次蝕刻可於介於0°C和60°C之間的溫度進行。約5 至約50°C較佳,約10°C至約40°C更佳,約40°C最佳。 爲達成前述溫度控制,以冷卻流體通過將晶圓留置於 反應槽中的支架(有時稱爲ESC)或靜電支架,以維持晶圓溫 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -13- 558768 A7 B7 五、發明説明(1) (請先閲讀背面之注意事項再填寫本頁} 度。此冷卻劑氣流(如:氨)的流率由約isccm至約 lOOsccm,以約2sccm至約50sccm爲佳,約lOsccm至約 4〇sCcm更佳,約3〇sccm最佳。進行蝕刻程序直到獲致所欲 蝕刻結果。此實例中,鈾刻步驟進行直到阻擋層20,此如 附圖3b所示者。 此處’鈾刻樣品中,特性結構位置(如··光阻層10定義 的24和26)現被蝕穿通過硬質光罩層1 2、有機低k介電層 14。達阻擋層20時,此特性結構位置蝕刻完成,此如附圖 3b所示者。附圖3b亦顯示移除光阻物丨〇之後的蝕刻完全 情況。此晶圓積層現可用以視完成積體電路裝置所須地進 一步進行形成圖樣、摻雜或澱積步驟(步驟110)。 嫻於此技藝者知道先前討論的電力、壓力、流率和溫 度僅爲例子。以不同厚度置於晶圓積層中的不同介電材料 須要不同的電力、壓力、流率和溫度組合。特定言之,本 發明之本質含括所有的這些組合。 經濟部智慧財產局8工消費合作社印製 一個這樣的組合構成本發明的一個實施例。根據本發 明之實施例,進行此蝕刻步驟的槽壓介於〇和500毫托耳 之間,介於10和250毫托耳之間較佳,介於20和160毫托 耳之間更佳,約50毫托耳最佳。 於電力約250瓦至約2500瓦形成電漿的上限頻率。以 於電力約250瓦至約1 500瓦形成電漿的上限頻率爲佳。此 電力設定於約300瓦至約7 50瓦之間更佳。上限頻率電力 設定於約500瓦最佳。 下限頻率電力由約250瓦至約2500瓦。以於電力約 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -14- 經濟部智慧財產局8工消費合作社印製 558768 A7 _B7 五、發明説明(1爹 250瓦至約1 500瓦形成電漿的下限頻率爲佳。此電力設定 於約300瓦至約750瓦之間更佳。下限頻率電力設定於約 500瓦最佳。 蝕刻氣體之混合物以由蝕刻氣體構份流構成爲佳。此 包括氫Η 2 ’其流率由約3 s c c m至約5 0 0 s c c m,約2 5 s c c m至 約 500sccm 較佳,約 50sccm 至約 500sccm 更佳,約 lOOsccm 最佳。 此蝕刻氣體亦包含氮N2作爲稀釋劑,其流率約Osccm 至約 2 5 0 0 s c c m,約 5 0 s c c m 至約 1 2 5 0 s c c m 較佳,約 1 0 0 s c c m 至約5 0 0 s c c ιώ更佳,約3 0 0 s c c m最佳。 此蝕刻劑另包括甲基氟CH3F,其流率約0.5sccm至約 5 0 s c c m,約 1 s c c m 至約 1 0 s c c m 較佳,約 2 s c c m 至約 7 s c c m 更佳,約5 s c c m最佳。 蝕刻程序於控制溫度進行特定時間。所討論的例子 中,第一次蝕刻可於介於和60°C之間的溫度進行。約5 至約50°C較佳,約10°C至約40°C更佳,約40°C最佳。 蝕刻時間可由數分之一秒至約1 0分鐘,此視情況而 定。此處所示的例子中,於最佳電力設定、氣流和溫度進 行蝕刻,約60秒鐘完成鈾刻。 同樣地,爲達成前述溫度控制,以冷卻流體通過支 架,以維持晶圓溫度。此冷卻劑氣流(如:氦)的流率由約 1 s c c m至約10 0 s c c m,以約2 s c c m至約5 0 s c c m爲佳’約 lOsccm 至約 40sccm 更佳,約 31.1sccm 最佳。 另一實施例中,進行此蝕刻步驟的槽壓介於0和500 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) --------裝--- · (讀先閱讀背面之注意事項再填寫本頁) 、y" d -15- 558768 五、發明説明(7 毫托耳之間,介於100和300毫托耳之間較佳,介於150和 25〇毫托耳之間更佳,約200毫托耳最佳。 於電力約1 0 0瓦至約1 5 0 0瓦形成電漿的上限頻率。以 於電力約300瓦至約1 000瓦形成電漿的上限頻率爲佳。此 電力設定於約600瓦至約800瓦之間更佳。上限頻率電力 設定於約700瓦最佳。 下限頻率電力由約0瓦至約500瓦。以於電力約〇瓦 至約2 5 0瓦形成電漿的下限頻率爲佳。此電力設定於約〇 瓦至約1 00瓦之間更佳。下限頻率電力設定於約〇瓦最 佳。 蝕刻氣體之混合物以由蝕刻氣體構份流構成爲佳。此 包括氨NH3,其流率由約lOOsccm至約3000sccm,約500 seem 至約 2000sccni 較佳’約 75〇Sccm 至約 1 500sccm 更佳, 約1 0 0 0 s c c m最佳。 蝕刻氣體亦包含甲基氟CH3F流,其流率約〇.5seem至 約5 0 s c c m,約1 s c c m至約1 0 s c c m較佳,約2 s c c m至約7 seem更佳,約5sccm最佳。 蝕刻程序於控制溫度進行特定時間。所討論的例子 中’第一次鈾刻可於介於〇°C和60°C之間的溫度進行。約5 至約50°C較佳,約10°C至約4(TC更佳,約2CTC最佳。 另一實施例中,進行此蝕刻步驟的槽壓介於〇和300 毫托耳之間,介於20和200毫托耳之間較佳,介於50和 150毫托耳之間更佳,約90毫托耳最佳。 於電力約100瓦至約1 500瓦形成電漿的上限頻率。以 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) --------0^ — (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局8工消资合作社印製 -16- 558768 A7 B7 五、發明説明(1户 (請先閲讀背面之注意事項再填寫本頁) 於電力約300瓦至約1 000瓦形成電漿的上限頻率爲佳。此 電力設定於約400瓦至約600瓦之間更佳。上限頻率電力 設定於約500瓦最佳。 下限頻率電力由約0瓦至約500瓦。以於電力約100 瓦至約300瓦形成電漿的下限頻率爲佳。此電力設定於約 150瓦至約250瓦之間更佳。下限頻率電力設定於約200瓦 最佳。 蝕刻氣體之混合物以由蝕刻氣體構份流構成爲佳。此 包括氨NH3,其流率由約lOOsccm至約2000SCC1H,約200 s c c m 至約 1 0 0 0 s c c m 較佳,約 4 0 0 s c c m 至約 6 0 0 s c c m 更佳, 約5 0 0 s c c m最佳。 此蝕刻劑另包括甲基氟CH3F,其流率約0.5sccm至約 7 0 s c c m,約 3 s c c m 至約 3 0 s c c m 較佳,約 6 s c c m 至約 1 5 s c c m 更佳,約lOsccm最佳。 鈾刻程序於控制溫度進行特定時間。所討論的例子 中,第一次蝕刻可於介於〇°C和60t之間的溫度進行。約5 至約50°C較佳,約i〇°c至約4(TC更佳,約20°C最佳。 經濟部智慧財產局員工消費合作社印製 本發明的另一實施例中,進行此蝕刻步驟的槽壓介於〇 和3 00毫托耳之間,介於50和200毫托耳之間較佳,介於 100和150毫托耳之間更佳,約120毫托耳最佳。 於電力約100瓦至約1500瓦形成電漿的上限頻率。以 於電力約300瓦至約1〇〇〇瓦形成電漿的上限頻率爲佳。此 電力設定於約400瓦至約600瓦之間更佳。上限頻率電力 設定於約5 0 0瓦最佳。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17- 558768 A7 B7 五、發明説明(1戶 --------裝--„ (請先閱讀背面之注意事項再填寫本頁) 下限頻率電力由約1 00瓦至約1 500瓦。以於電力約 3 00瓦至約1 000瓦形成電漿的下限頻率爲佳。此電力設定 於約400瓦至約600瓦之間更佳。下限頻率電力設定於約 500瓦最佳。 此鈾刻氣體亦包括氬Ar作爲稀釋劑,其流率由約 Osccm 至約 800sccm,約 200sccm 至約 600sccm 較佳,約 3 0 0 s c c m 至約 5 0 0 s c c m 更佳,約 4 0 0 s c c m 最佳。 蝕刻氣體亦包含氮N2作爲稀釋劑,其流率約Osccm至 約 3 0 0 s c c m,約 5 0 s c c m 至約 2 0 0 s c c ιή 較佳,約 7 5 s c c m 至約 1 5 0 s c c m 更佳,約 1 0 0 s c c m 最佳。 蝕刻氣體之混合物以由蝕刻氣體構份流構成爲佳。此 包括氧0 2,其流率由約〇 s c c m至約1 0 0 s c c m,約2 s c c m至 約5 0 s c c m較佳,約3 s c c m至約1 5 s c c m更佳,約6 s c c m最 佳。 此蝕刻劑另包括甲基氟CH3F,其流率約〇.5sccm至約 50sccm,約 lsccm 至約 lOsccni 較佳,約 2sccm 至約 7sccm 更佳,約5 s c c m最佳。 經濟部智慈財產局員工消費合作社印製 蝕刻程序於控制溫度進行特定時間。所討論的例子 中’第一次鈾刻可於介於〇 °C和6 0 °C之間的溫度進行。約5 至約50°C較佳,約i〇°c至約40°C更佳,約20°C最佳。 本發明的另一實施例中,此鈾刻步驟的槽壓介於Q和 400毫托耳之間,介於1〇〇和300毫托耳之間較佳,介於 150和250毫托耳之間更佳,約200毫托耳最佳。 於電力約100瓦至約3000瓦形成電漿的上限頻率。以 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -18- 558768 A7 B7 五、發明説明($ (請先閲讀背面之注意事項再填寫本頁) 於電力約500瓦至約2000瓦形成電漿的上限頻率爲佳。此 電力設定於約750瓦至約1500瓦之間更佳。上限頻率電力 設定於約1 000瓦最佳。 下限頻率電力由約0瓦至約300瓦。以於電力約0瓦 至約200瓦形成電漿的下限頻率爲佳。此電力設定於約0 瓦至約100瓦之間更佳。下限頻率電力設定於約0瓦最 佳。 此蝕刻氣體亦包含氦He作爲稀釋劑,其流率約Osccm 至約 3000sccm,約 600sccm 至約 2000sccm 較佳,約 900 s c c m 至約 1 5 0 0 s c c m 更佳,約 1 2 0 0 s c c m 最佳。 蝕刻氣體之混合物以由鈾刻氣體構份流構成爲佳。此 包括氧〇2,其流率由約0 s c c ill至約1 0 0 s c c m,約2 s c c m至 約5 0 s c c m較佳,約3 s c c m至約1 5 s c c m更佳,約6 s c c m最 佳。 此鈾刻劑另包括甲基氟CH3F,其流率約0.5sccm至約 3 0 s c c m,約 1 s c c m 至約 3 0 s c c m 較佳,約 2 s c c m 至約 7 s c c m 更佳’約3 s c c m最佳。 經濟部智慧財產局8工消費合作社印製 蝕刻程序於控制溫度進行特定時間。所討論的例子 中’第一次蝕刻可於介於0°C和60°C之間的溫度進行。約5 至約50°C較佳,約l〇°C至約40°C更佳,約2(TC最佳。 方法1 00提供數個新的優點。其中的第一者是蝕刻迅 $進行,沒有先前討論之微遮使得蝕刻變慢的情況。先前 討的貫施例中之各者的触刻速率超過3000埃/分鐘。當 然’操作厚度不同之不同材料的不同實施例或以不同處理 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -19- 558768 A7 B7 五、發明説明( 參數進行的實施例,所得蝕刻速率會較高或較低。 第二個優點是本發明之方法控制輪廓的控制效果顯 著。 本發明之試驗期間內注意到的一個優點是未摻有氟化 碳之蝕刻形成彎曲情況。認爲氟化碳會在鈾刻期間內解離 成氟和烴。另認爲在離子轟擊不足(如:在通道和溝槽的側 壁上者)時,解離的=CH-物種會與SiLK反應並形成含括( = CH-N) =基團的聚合物以3-維基質形式排列。所得的HCN 聚合物和CFx聚合物(CH3F直接解離而得者)會鈍化側壁及 防止輪廓彎曲。此聚合物之澱積也會形成於硬質光罩上, 此降低被噴濺的硬質光罩量。氟物種與噴濺的硬質光罩組 份倂成揮發性化合物(被抽除),藉此消除或至少大幅降低微 遮效應。 本發明的一個特定特徵在於:藉由蝕刻法,同時形成 尺寸變化很大的特性結構位置的新能力及輪廓控制極佳且 儘可能克制RIE減退情況,通道儘可能不彎曲,蝕刻輪廓 良好,阻抗選擇性良好及晶圓整體的蝕刻均勻度良好。 嫻於此技藝者知道先前討論的電力、壓力、流率和溫 度僅爲例子。以不同厚度置於晶圓積層中的不同介電材料 須要不同的電力、壓力、流率和溫度組合。特定言之,本 發明之本質含括所有的這些組合。 已經以本發明之特徵的某些較佳實施例展現及描述本 發明。但嫻於此技藝者瞭解能夠在不違背本發明之精神和 範圍(如:所附申請專利範圍所示者)的情況下,作出各式各 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------, (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印紫 -20- A7
558768 五、發明説明(1戶 樣的改變和修飾。特別地,特定3 a θ 5: ’本發明之本質含括 將此處所述的一或多個特徵和優點& 1 m μ加諸於由多個不同層定 義之具不同晶圓積層構造而形成的多種積體電路裝置。嫻 於此技藝者虽然Α夠修飾先前討論的處理變數,以用於不 同的積體電路裝置。特定言之,這些替代方案中的各者屬 本發明本質範圍內。 --------I-, (請先閲讀背面之注意事項再填寫本頁) 訂 4 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CMS ) A4規格(210X 297公釐) -21 -

Claims (1)

  1. 558768 ABCD 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1. 一種用於在積體電路晶圓中蝕刻特性結構的方法,該 晶圓中帶有至少一個低k値介電層係部份置於硬質光罩 下,此方法包括: 將該晶圓置於反應槽中; 將含有氟化碳與活性蝕刻劑的蝕刻氣體流送入該反應 槽中; 於反應槽中,由蝕刻氣體形成電漿; 以該活性蝕刻劑在至少一部份低k値介電層中蝕刻特 性結構; · 以該活性蝕刻劑噴濺部份硬質光罩;及 由噴濺之硬質光罩與氟形成揮發性化合物,因而減少 微遮情形。 2. 如申請專利範圍第1項之方法,其中該活性蝕刻劑係 選自氫及氨。 3 ·如申請專利範圍第2項之方法,其中該氟化碳係選 自 CH3F、CH2F2、及 chf3。 4.如申請專利範圍第3項之方法,其中該活性蝕刻劑爲 氨,流率爲約100 seem至約3000 seem,而該氟化碳爲 CH3F,流率爲約1 seem至約1〇 seem。 5 .如申請專利範圍第3項之方法,其中該低k値介電層 係不含矽之低k値介電層。 6. 如申請專利範圍第3項之方法,其中該低k値介電層 係不含矽之苯並環丁烯低k値介電層。 7. 如申請專利範圍第3項之方法,其中該活性蝕刻劑爲 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事 項再填寫. 裝-- 本頁} 、π 4 -22- 經濟部智慧財產局員工消费合作社印製 558768 A8 B8 C8 D8___ 六、申請專利範圍 氮與氫。 8 .如申請專利範圍第7項之方法,其中該氮之流率爲約 50 seem至約1250 seem,而該氫的流率爲約25 seem至約 5 0 0 seem 0 9.如申請專利範圍第8項之方法,其中該氟化碳爲 CH3F,其流率爲約2 sccm至約1〇 seem。 1 0.如申請專利範圍第7項之方法,其中該氟化碳爲 CH3F。 1 1 ·如申請專利範圍第3項之方法,進一步包括把來自 氟化碳的聚合物澱積於硬質光罩上以減少硬質光罩噴濺。 1 2 .如申請專利範圍第1 1項之方法,進一步包括把來自 氟化碳的聚合物澱積於特性結構的側壁上以減少輪廓彎 曲。 1 3 .如申請專利範圍第1項之方法,其中該氟化碳係選. 自 CH3F、CH2F2、及 chf3。 1 4 .如申請專利範圍第1項之方法,其中該低k値介電 層係不含砂之低k値介電層。 I 5 ·如申請專利範圍第i項之方法,其中該低k値介電 層係不含矽之苯並環丁烯低k値介電層。 1 6.如申請專利範圍第1項之方法,其中該活性蝕刻劑 爲氮及氫。 1 7 .如申請專利範圍第1項之方法,進一步包括把來自 氟化碳的聚合物澱積於硬質光罩上以減少硬質光罩噴職。 ]8.如申請專利範圍第1項之方法,進一步包括把來自 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X297公瘦Ί - ' 一 •23- 裝-H . (請先閱讀背面之注意事項再填寫本頁) 訂 558768 8 8 8 8 ABCD 六、申請專利範圍 氟化碳的聚合物澱積於特性結構的側壁上以減少輪廓彎 曲。 1 9 .如申請專利範圍第1項之方法,進一步包括以該活 性蝕刻劑在該硬質光罩內蝕刻出一開口,其中噴濺部份硬 質光罩係於在該硬質光罩中蝕刻出開口之期間發生的,且 其中揮發性化合物的形成係於在該硬質光罩中蝕刻出開口 之期間發生的,其中該活性鈾刻劑蝕刻出硬質光罩開口, 且其中該活性蝕刻劑係選自氫及氨。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Ad規格(210 X 297公釐) -24 -
TW091101911A 2001-02-12 2002-02-04 Unique process chemistry for etching organic low-k materials TW558768B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/782,185 US6841483B2 (en) 2001-02-12 2001-02-12 Unique process chemistry for etching organic low-k materials

Publications (1)

Publication Number Publication Date
TW558768B true TW558768B (en) 2003-10-21

Family

ID=25125261

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091101911A TW558768B (en) 2001-02-12 2002-02-04 Unique process chemistry for etching organic low-k materials

Country Status (6)

Country Link
US (1) US6841483B2 (zh)
KR (1) KR100880131B1 (zh)
CN (1) CN1524287B (zh)
AU (1) AU2002240212A1 (zh)
TW (1) TW558768B (zh)
WO (1) WO2002065512A2 (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
KR100989107B1 (ko) * 2003-03-31 2010-10-25 인터내셔널 비지니스 머신즈 코포레이션 다층 포토레지스트 건식 현상을 위한 방법 및 장치
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US7517801B1 (en) 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
KR101324549B1 (ko) 2005-12-08 2013-11-01 몰레큘러 임프린츠 인코퍼레이티드 기판의 양면 패턴화를 위한 방법 및 시스템
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
KR20090107073A (ko) * 2007-01-30 2009-10-12 램 리써치 코포레이션 초임계 용매를 사용하여 반도체 기판 상에 금속막을 형성하는 조성물 및 방법
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
JP5297615B2 (ja) * 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
CN102832118B (zh) * 2012-09-11 2015-02-18 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US10872788B2 (en) * 2018-11-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etch apparatus and method for using the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6245663B1 (en) * 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US20010024769A1 (en) 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6797633B2 (en) 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning

Also Published As

Publication number Publication date
US20020111036A1 (en) 2002-08-15
US6841483B2 (en) 2005-01-11
WO2002065512A2 (en) 2002-08-22
KR20030086998A (ko) 2003-11-12
AU2002240212A1 (en) 2002-08-28
CN1524287B (zh) 2010-09-01
KR100880131B1 (ko) 2009-01-23
WO2002065512A3 (en) 2003-03-13
CN1524287A (zh) 2004-08-25

Similar Documents

Publication Publication Date Title
TW558768B (en) Unique process chemistry for etching organic low-k materials
TW535197B (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
TWI297179B (en) Use of ammonia for etching organic low-k dielectrics
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
TWI281688B (en) Post etch photoresist strip with hydrogen for organosilicate glass low-K etch applications
US6916746B1 (en) Method for plasma etching using periodic modulation of gas chemistry
TW546737B (en) Method of plasma etching organic antireflective coating
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US20070224829A1 (en) Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
US20040072443A1 (en) Method for plasma etching performance enhancement
JP2008505497A (ja) 二層レジストプラズマエッチングの方法
WO2003007344A2 (en) Etch pattern definition using a cvd organic layer as an anti-reflection coating and hardmask
JPH08172077A (ja) ビアのプラズマエッチング改良方法
WO2002065513A2 (en) Photoresist strip with 02 and nh3 for organosilicate glass applications
TW201611096A (zh) 利用共形碳薄膜減低臨界尺寸之方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR100727834B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JP2004505464A (ja) 半導体構造物から有機残留物を除去する方法
KR20180063439A (ko) 팔라듐 박막의 식각방법
WO2006019849A1 (en) Low-k dielectric etch
US6399509B1 (en) Defects reduction for a metal etcher
JP4722243B2 (ja) ドライエッチング用ガスおよび半導体デバイスの加工方法
WO2000029640A1 (en) Method for residue-free anisotropic etching of aluminum and its alloys

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees