JPH08172077A - ビアのプラズマエッチング改良方法 - Google Patents

ビアのプラズマエッチング改良方法

Info

Publication number
JPH08172077A
JPH08172077A JP7173689A JP17368995A JPH08172077A JP H08172077 A JPH08172077 A JP H08172077A JP 7173689 A JP7173689 A JP 7173689A JP 17368995 A JP17368995 A JP 17368995A JP H08172077 A JPH08172077 A JP H08172077A
Authority
JP
Japan
Prior art keywords
gas
metal
etching
plasma
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7173689A
Other languages
English (en)
Inventor
Hongching Shan
シャン ホンチン
Robert Wu
ウー ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH08172077A publication Critical patent/JPH08172077A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

(57)【要約】 【目的】 本発明は、誘電体材料を介したプラズマエッ
チングにおいて、得られたエッチング構造が構造壁に残
留物を含まないようにすることを目的とする。 【構成】 本発明には、マスクパターン形成誘電膜をプ
ラズマエッチングして半導体ウェハ上にビアを形成する
方法が開示される。ビアは、下地金属と誘電体上に付着
された金属膜とのコンタクト点が作られる誘電体中の開
口である。下地金属がプラズマに露出されると、コンタ
クトビア構造の垂直壁部分にスパッタする傾向がある。
金属含有スパッタ材料は、半導体製造に典型的に用いら
れる次のフォトレジストストリッピングプロセスにおい
て実質的に除去することができない残留物を形成する。
本発明のプラズマエッチングプロセスは、金属と反応し
て容易に排気できる揮発性化合物を生成するガスを基本
的誘電体エッチングガスと共に用いることによりスパッ
タされた金属を除去することを可能にする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体処理の分野
に関する。特に、本発明は、ビアとして知られる誘電体
材料内に開口された構造を介して金属の上層と金属の下
層を相互に接続するための穴を形成するため、誘電体材
料を介してプラズマエッチングする方法である。
【0002】
【従来の技術】半導体集積回路デバイス製造において
は、たいていアルミニウム層が付着され、次いでエッチ
ングされて1組のワイヤ又は相互接続部12(図1)が
作られ、その上に誘電体又は絶縁体、たいてい二酸化シ
リコンの層10が付着される。その誘電層10をマスク
及びエッチングしてビアとして知られる狭い輪郭(profi
le) の開口が開けられる(図1の11に陰影として示さ
れている)。各ビアは、各々誘電層10を通って開口と
して該アルミニウム下層の一部又はワイヤ12まで伸び
る。他の金属層14は、誘電膜上に付着される。図2に
示されるように、その付着した金属がビアを塞ぎ、ビア
の底の露出下地金属に係合する金属コンタクト構造13
を形成しかつ下のワイヤ12と金属上層14(順次エッ
チングされてワイヤ層を作る)間に層11を通ってコン
タクト点を作る。ここで塞いでいるビア11及びコンタ
クト構造13の形は通常円形であるが、トレンチ形(tre
nch shape)をなしてもよい。ビア11は、塞いでいる金
属構造が2つの分かれたワイヤ又は金属層間にコンタク
トを設けるように配置されたことに留意されたい。この
機能をなすそのようなビアもまた、『コンタクトビア』
又は単に『ビア』と呼ばれる。
【0003】ビア構造を開口するために(To open) 、誘
電膜がプラズマ処理リアクタ内でエッチングされる。C
HF3 、CF4 、C2 6 のようなフッ素系ガスがしば
しばO2 、Ar又はHeと組合わせて、典型的には、所
望のエッチング速度、選択性及び均一性を得るための最
も効果的なプラズマである。誘電層をエッチングしてビ
アを形成する典型的な方法は Arleoらの米国特許第 5,1
76,790号に記載されており、誘電層をフッ素含有ガスと
窒素含有ガスの混合物のプラズマ中でエッチングするこ
とが論じられており、これを参考として本明細書に引用
する(incorporated herein by reference)。
【0004】
【発明が解決しようとする課題】ビアのエッチングにお
いて直面する課題は、ビアの側壁上の残留物16の付着
である。その残留物の例は、図3に示されている。この
残留物は、有機材料及び金属の混合物であり、その有機
材料はプロセスガス及びスパッタされたフォトレジスト
が原因であり金属部分はビアの底の金属層のスパッタさ
れたものである。ビアが層10に開けられ、これにより
下地金属がプラズマに露出されるのでエッチングプロセ
ス中に残留物が形成される。このために、残留物はバッ
クスパッタ金属と呼ばれる。このバックスパッタ金属残
留物は引き続きビアを通る金属から金属までの接続の抵
抗率を変え、デバイス不良を生じることから集積回路デ
バイスを製造するのに継続的な課題であった。
【0005】最新のデバイス構造においては、その金属
バックスパッタ課題を悪化させている。1つの理由は、
金属上面の誘電膜の平坦化と共に誘電膜の下にある種々
の深さのトポグラフィー金属層の共通使用にある。その
誘電膜の平坦化は、誘電膜をビア形でより正確にマスク
し、順次その誘電膜をより正確にエッチングしてそのビ
アを形成するのに必要であるが、下地金属が平坦化誘電
層の表面に近接する薄い誘電膜と下地金属が誘電体のよ
り深く下にある厚い誘電膜を生じる。次いで、トポグラ
フィー的金属上に配置された平坦化誘電層のビアエッチ
ング中に、平坦化誘電体の浅い領域が厚い領域の前に下
地金属まで開けられる。そのことにより浅いビアの底の
金属が、オーバーエッチング時間、即ち、より深いビア
のエッチングを完了するまで続く超過の時間外中にプラ
ズマに露出される。ビア深さが異なるために、オーバー
エッチング時間は比較的長時間である。ビアの底の金属
がプラズマに露出される時間中にその金属が側壁にスパ
ッタ付着して望ましくない残留物を形成する。
【0006】その残留物は、引き続きプラズマフォトレ
ジストストリッピングプロセスにおいて除去することが
不可能ではないが困難である。残留物は、純粋な金属で
なくて金属と有機エッチング残留物の混合物である。そ
のフォトレジストストリッピングプロセスは、残留物の
有機成分をいくらか除去するが、バックスパッタ金属部
分とその組成物は残留する。しかしながら、この残して
いる残留物を除去しなければならず、さもなければ次の
相互接続金属化(即ち、金属コンタクト構造13)の抵
抗率を変えるか又は特に高密度デバイスにおいてビアが
残留物で塞がれるので相互接続金属化の形成が妨害され
る。残留している残留物を除去するために更に湿式化学
処理が慣用的に用いられている。しかしながら、湿式化
学処理の問題は、処理ステップを追加することである。
湿式化学処理は、製造生産額に好ましくないコストを付
加する。バックスパッタ金属残留物の課題を取り扱って
いる良い方法は、フォトレジストストリッピングの前に
除去するか又は発生を防止するものである。米国特許第
5,176,790号に開示されている方法の試みは、エッチン
グ中にビア内にポリマー材料を多量に付着するプロセス
ガスを用いることにより防止したものである。しかしな
がら、最新の半導体集積回路デバイスに典型的に見られ
るような高度トポグラフィー金属の場合、長いオーバー
エッチングが誘電体エッチング中に必要である。米国特
許第 5,176,790号の方法を長いオーバーエッチングに用
いる場合には、過剰な重合量が生じ、完全に除去するこ
とが困難である。従って、米国特許第 5,176,790号に開
示されている方法は、最新の半導体集積回路構造には十
分でない。
【0007】異なる関係において示されるものである
が、シリコンコンタクトエッチングについて二酸化シリ
コンの後に残留する望ましくないポリマー残留物を除去
するガスを少量加える概念が、1980年の電気化学会カン
ファレンスにおいて OkumuraらによりアブストラクトN
o.303で追究された。 Okumuraらは、二酸化シリコンコ
ンタクトエッチングプロセスに塩素を加え、その塩素が
二酸化シリコンエッチングプロセス化学におけるC−F
結合を解離するのに役立ちかつ二酸化シリコン層にエッ
チングする際に露出されるシリコン表面のポリマー残留
物を除去することを見出した。しかし、ビアエッチン
グ、即ち、誘電体をエッチングしかつ下地金属膜に達す
る際にプロセスを停止する関係において、単にC−F結
合の解離を高めることにより働くガスを金属残留物を除
去するために加えることは十分でない。
【0008】
【課題を解決するための手段】本発明によれば、下地金
属スパッタリングに起因するビア壁のバックスパッタさ
れた金属残留物が誘電体エッチング中に除去されるよう
にビア構造をエッチングする方法が提供される。この方
法は、プラズマエッチングリアクタ内のマスクされた誘
電層をフッ素含有ガスを用いてプラズマエッチングする
ことを含む。誘電体エッチングプロセスの開始時あるい
は誘電体の下にある金属がプラズマに露出される場合の
誘電体エッチング中の時点で、下地金属と揮発性化合物
を生成することができるガスがフッ素含有ガスに添加さ
れる。下地金属と揮発性化合物を生成することができる
そのガスの例としては、Cl2 、HCl、BCl3 、H
Br及びBr2 のような塩素又は臭素含有ガスが挙げら
れるがそれらに限定されない。この方法は平坦化誘電層
の下にある高度トポグラフィー金属を有する最新の集積
回路デバイス構造に特に有効であり、平坦化誘電体の浅
いあるいは薄い領域の下地金属が実質的な時間プラズマ
に露出されるとともに平坦化誘電体の残りの領域がなお
エッチングする。
【0009】
【発明の実施の形態】この説明のために、『誘電体』は
酸化シリコン、窒化シリコン又は誘電特性を有する他の
膜を意味し、典型的には半導体デバイスにおいて絶縁層
として用いられる。『金属』は、半導体デバイスの導電
性相互接続構造を形成するのに有効なアルミニウム、合
金アルミニウム又は載置されたバック防止コーティング
を含む他の金属膜を意味する。
【0010】本発明は金属層上の誘電層の関係において
ビアの形成にたいてい適用できるが、本発明は半導体デ
バイスの製造の好ましい適用について特に記載され、半
導体ウェハ基板から開始する。その典型的な適用におい
ては、アルミニウムの上面にTiN反射防止コーティン
グによるアルミニウム合金膜が基板に付着され、パター
ン形成される。二酸化シリコンの誘電膜は、パターン形
成金属層上に付着される。その誘電膜は、フォトレジス
トリソグラフィー手法によってパターン形成されてエッ
チングの際に下地パターン形成金属層とその後付着され
るべき重層金属層間の金属コンタクト構造を可能にする
誘電体にビアを設けるパターンを作る。
【0011】本発明のビアエッチングは、1ステップ工
程あるいは2ステップ工程の関係の中で行われる。2ス
テップ工程としては、主エッチングステップ(a main et
ch step)及びビアの底の下地金属をプラズマに最初に露
出する際に開始するオーバーエッチングステップが含ま
れる。その主エッチングステップは、誘電体(及び除去
されねばならない任意の反射防止コーティング)をエッ
チングするように設計され、フォトレジストに対する予
め指定された選択性及び予め指定されたエッチング速度
均一性が示される。そのオーバーエッチングステップ
は、下地金属のプラズマに対する露出及び得られたスパ
ッタリング及び該ビア壁の金属の再付着の影響にかなり
役立つ(to help deal with the effect)金属補集ガスを
加えつつそのビア形成工程を完了するように設計され
る。その1ステップ工程は、全工程の開始から金属補集
ガスを単に組込むものである。本発明の改良点は、1ス
テップ工程に組込むこともできるし、2ステップ工程の
オーバーエッチングに組込まれた別個のプロセスレシピ
とすることもできることである。
【0012】下地金属としてアルミニウムの場合、従っ
て側壁へのアルミニウムバックスパッタリングの場合に
は、1ステップあるいは1ステップ工程の補集ガスはハ
ロゲン含有ガス、例えば、Cl2 又はHClである。こ
れらのガスの塩素がプラズマ内で解離し、アルミニウム
と反応して処理チャンバ内の反応性イオンエッチングに
典型的に維持された圧力より高い蒸気圧を有する揮発性
AlClX 化合物を生成することが理論付けられる。そ
の揮発性AlClX 化合物は、チャンバ真空ポンプによ
り容易に除去される。
【0013】特に、前述のように金属膜を重層し(overl
ying) かつコンタクトビア用にパターン形成された、例
えば酸化シリコンの誘電膜を含むウェハは、Applied Ma
terials 社製のPrecision 5000 Etch Systemのような、
好ましくは磁気的に増強された反応性イオンエッチング
装置のプラズマエッチング処理チャンバ内の支持プラッ
トホーム上に載置される。主エッチングの場合、CHF
3 、CF4 、Ar及びN2 のガス混合物が13リットル
チャンバに対してCHF3 約15〜100sccm(標
準立方センチメートル毎分)、CF4 0〜50scc
m、Ar10〜150sccm及びN2 5〜100sc
cmの流量範囲で該処理チャンバに流し込まれる。その
Ar及びN2 が実質的に非反応性希釈剤として効果的で
あると考えられる。チャンバ内の圧力は、約50〜30
0ミリトールで安定化される。
【0014】プラズマを発生させる方法は数種あるが、
好適実施例においては、該ウェハが載っている処理チャ
ンバ内の支持プラットホームに300〜800ワットの
範囲にある高周波電力(例えば13.6MHz)を印加
することによりプラズマを発生させる。プラズマのイオ
ン化を高めるために、20〜100ガウスの磁界強度を
用いることができる。支持プラットホーム温度は、ウェ
ハを過度に加熱しないように防止するために冷却され、
典型的な範囲は5〜45℃である。ウェハと支持プラッ
トホーム間の熱接触を更に確実にするために、ウェハの
裏面と支持プラットホーム間の空間にヘリウムガスを導
入する。
【0015】誘電体エッチングは、チャンバ内のプラズ
マの発生時に開始する。チャンバに導入された非不活性
ガス(non-inert gases) はプラズマ形態で反応する。反
応性ガスは誘電膜からの分子と化合し、揮発性化合物を
生成する。また、ある程度の誘電体表面のイオンスパッ
タリングが起こる。その揮発性化合物は、排出口を通っ
てチャンバから排出される。2ステップ工程において
は、主エッチングプロセスは誘電体及び反射防止コーテ
ィング(存在する場合)の最も浅い領域がエッチングさ
れるまで続けられ、下地金属の最初のものがプラズマに
露出される。そこで、プロセスがオーバーステップに切
り換えられる。オーバーエッチングステップは金属補集
ハロゲン含有ガスを加える以外は主エッチングと同様の
ガス化学を使用する。『金属補集』("metal-scavengin
g")とは、下地金属と反応して揮発しやすい化合物を生
成するガスを意味する。典型的に用いられる下地金属、
アルミニウムと揮発性化合物を生成するその金属補集ガ
スの例としては、Cl2 、HCl、Br2 、HBr及び
BCl3 が挙げられるがそれらに限定されない。流量範
囲約5〜25sccmの金属補集ガスが基本的な誘電体
エッチングガス混合物に添加される。ガスの比率、つい
でに圧力、電力レベル又は磁界強度も、オーバーエッチ
ングステップでエッチング速度、選択性及び均一性を維
持するために主エッチングステップから調整されてもよ
い。フッ化ガスに対する金属補集ガスの全体比は、金属
に対する誘電体のエッチング速度の選択性を維持するこ
とができるように補集ガス部分が小さくなければならな
いので、5〜10中約1部あたりである。言い換える
と、金属補集ガスの量は、ビアの底の金属エッチング速
度を最小に保持しつつコンタクト側壁の付着物を除去す
ることができるだけ十分小さくする。上述のように、単
一ステップ工程は、金属補集ハロゲン含有ガスを全工程
時間の誘電体エッチングガス化学に単に組込むものであ
る。
【0016】誘電体エッチングの後に、ウェハをフォト
レジストストリッパー内に入れてフォトレジストと他の
有機ポリマーを除去する。
【0017】最適流量範囲、圧力、高周波電力及び磁界
強度は、チャンバのサイズ、エッチングされる誘電体の
種類、下地金属の種類、ウェハサイズ及びエッチング速
度、均一性及び選択性のような所望のプロセス結果を含
む多くの要因に左右される。誘電膜層がTiN被覆アル
ミニウム上約1ミクロン厚さの二酸化シリコンである磁
気的に増強された反応性イオンエッチング装置内でエッ
チングする150mm径ウェハによる統計的に設計された
実験においては、Applied Materials Precision 5000 E
tch Systemを使用する次のプロセスレシピが誘電体内の
ビアを巧くエッチングしかつバックスパッタされた金属
残留物を除去することが見出された。
【0018】主エッチング: CHF3 25sccm、
CF4 25sccm、Ar75sccm、N2 250s
ccm、250ミリトール圧、60ガウス、650ワッ
ト、誘電体の最も浅い領域が下地金属までエッチングさ
れる時間まで。
【0019】オーバーエッチング: CHF3 45sc
cm、CF4 25sccm、Ar25sccm、N2
0sccm、Cl2 10sccm、250ミリトール
圧、80ガウス、650ワット。最後に得られたビアエ
ッチング構造は、図4に示されるように残留物を含まな
い、すっきりした形である。
【0020】追加の利点及び変更は、当業者に明らかで
あろう。これまでの説明を、示された個々の詳細のいず
れかに限定するものとして解釈してはならない。前記特
許請求の範囲及びその等価物によって定義される本発明
の一般概念の真意又は範囲から逸脱することなく変更が
行われてもよい。
【0021】
【発明の効果】本発明は、以上説明したように構成され
ているので、誘電体材料を介したプラズマエッチングに
おいて、得られたエッチング構造が構造壁に残留物を含
まないようにすることができる。
【図面の簡単な説明】
【図1】ビアの典型的な開始構造の側面図である。
【図2】ビアエッチング後の典型的な金属付着の側面図
である。
【図3】バックスパッタされた金属の好ましくない残留
物を示す図である。
【図4】本発明の誘電体エッチング後の好ましいプロフ
ァイルを示すビアの図である。
【符号の説明】
10…誘電層、11…ビア、12…ワイヤ又は相互接続
部、13…金属コンタクト構造、14…金属上層、16
…残留物。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ロバート ウー アメリカ合衆国, カリフォルニア州 94566, プレザントン, パセオ グラ ナダ 3112

Claims (26)

    【特許請求の範囲】
  1. 【請求項1】 下地金属膜(underlying film of metal)
    上に配置されたパターン形成(patterned) 誘電膜内のビ
    アをエッチングする方法であって、エッチングされるべ
    き下地金属膜上に配置された(positioned)パターン形成
    誘電膜を含む基板を、プラズマエッチングリアクタ内の
    支持プラットホーム上に載置する(placing) ステップ;
    該リアクタチャンバ内の真空を維持するステップ;該誘
    電膜をプラズマエッチングするための該リアクタチャン
    バにガスを導入する(adimitting)ステップ;該下地金属
    膜と反応することができる該リアクタチャンバに1種以
    上のガスを導入して該プラズマエッチングリアクタチャ
    ンバの大気圧未満の(subatomospheric) 圧力環境内で揮
    発しやすい化合物を生成させるステップ;前記ガスのプ
    ラズマを発生させて所定位置及び選択的に該下地金属に
    ついて該パターン形成誘電膜をエッチングすると共にそ
    のエッチングされたビア構造の垂直壁部分の該下地金属
    からスパッタされた付着物を除去し、もって、該ビアが
    下地金属膜と引き続き付着された重層金属膜(overlying
    metal film)間にコンタクトを形成するステップ;を含
    む方法。
  2. 【請求項2】 該誘電膜をエッチングするための該ガス
    がフッ素含有ガス及び非反応性ガスを含む請求項1記載
    の方法。
  3. 【請求項3】 該フッ素含有ガスがCHF3 、CF4
    2 6 又はその組合わせを含む請求項2記載の方法。
  4. 【請求項4】 該非反応性ガスがアルゴン、窒素又はそ
    の組合わせを含む請求項2記載の方法。
  5. 【請求項5】 該下地金属膜と反応することができる該
    ガスが塩素もしくは臭素含有ガス又はその化合物もしく
    は組合わせである請求項1記載の方法。
  6. 【請求項6】 該金属膜と揮発性化合物を生成する該ガ
    スがCl2 、HCl、BCl3 、Br2 、HBr又はそ
    の組合わせを含む請求項5記載の方法。
  7. 【請求項7】 大気圧未満の圧力が約300ミリトール
    以下の圧力である請求項1記載の方法。
  8. 【請求項8】 該誘電膜が平面であり、該下地金属が該
    誘電膜の表面の下に種々の深さで(at varying depths)
    位置している請求項1記載の方法。
  9. 【請求項9】 該プラズマが、該支持プラットホームに
    高周波電力を約300〜800ワットの範囲内で印加す
    ることにより生成される請求項1記載の方法。
  10. 【請求項10】 該下地金属との反応から揮発しやすい
    化合物を生成するガスを導入するステップが、少なくと
    も1つのビアの下にある金属が該プラズマに露出された
    後に行われる請求項1記載の方法。
  11. 【請求項11】 誘電層の下地金属を含む基板の該誘電
    層中のビアをエッチングする方法であって、該誘電層を
    マスクして該ビアの所望の場所の位置パターンを形成す
    るステップ;プラズマエッチングリアクタ内に該基板を
    配置するステップ;該リアクタを減圧して大気圧未満の
    圧力に維持するステップ;プラズマを励起する際に該誘
    電層をエッチングすることができるリアクタにガスを導
    入するステップ;露出の際に該下地金属と反応すること
    ができる該リアクタにガスを導入して該プラズマエッチ
    ングリアクタの大気圧以下の圧力環境内で揮発しやすい
    化合物を生成させるステップ;及び該リアクタ内にガス
    プラズマを生成させて該誘電層をエッチングし、該マス
    キングパターンに従ってビアを形成しかつ該下地金属を
    該プラズマに露出するために付着される該ビア壁から金
    属を除去するステップ;を含む方法。
  12. 【請求項12】 該誘電層をエッチングすることができ
    る該ガスが1種以上のフッ素含有ガスであり、該下地金
    属と揮発性化合物を生成することができる該ガスが塩素
    もしくは臭素含有ガス又はその化合物もしくは組合わせ
    である請求項11記載の方法。
  13. 【請求項13】 該誘電層をエッチングすることができ
    る該ガスがCHF3、CF4 、C2 6 又はその組合わ
    せを含む請求項12記載の方法。
  14. 【請求項14】 該下地金属膜と揮発性化合物を生成す
    ることができる該ガスが塩素もしくは臭素含有ガス又は
    その化合物もしくは組合わせである請求項11記載の方
    法。
  15. 【請求項15】 揮発性化合物を生成することができる
    該ガスがCl2 、HCl、BCl3 、Br2 、HBr又
    はその組合わせを含む請求項14記載の方法。
  16. 【請求項16】 該下地金属がアルミニウムであり、揮
    発性化合物を生成することができる該ガスが塩素又は臭
    素含有ガスである請求項14記載の方法。
  17. 【請求項17】 該下地金属と揮発性化合物を生成する
    ことができる該ガスが、該プラズマが該下地金属に最初
    に接触するときのみ導入される請求項11記載の方法。
  18. 【請求項18】 該誘電体をエッチングする該ガス及び
    該金属と揮発性化合物を生成する該ガスの双方が同時に
    導入される請求項11記載の方法。
  19. 【請求項19】 該誘電層が平面であり、該下地金属が
    該誘電層の表面の下に種々の深さで位置している請求項
    11記載の方法。
  20. 【請求項20】 誘電層の下地金属を含み該誘電層に所
    望のビア位置を形成するマスクパターンを設けた基板の
    該誘電層中のビアをエッチングする方法であって、該誘
    電層をフッ素含有ガスを含むプラズマプロセスを用いて
    エッチングして該マクスパターンによって該プラズマプ
    ロセスに露出される該誘電層内の位置にビアを選択的に
    形成するステップ;該下地金属を該ビアエッチングプラ
    ズマプロセスに露出することにより該壁上に付着した金
    属と反応することができる塩素もしくは臭素含有ガス又
    はその化合物もしくは組合わせを用いて該ビアの壁をエ
    ッチングして揮発しやすい化合物を生成することにより
    その金属付着物を除去するステップ;を含む方法。
  21. 【請求項21】 該下地金属が種々の深さに位置し、ビ
    アのエッチング及び他のビアの壁からの金属の除去が同
    時に続けられる請求項20記載の方法。
  22. 【請求項22】 該フッ素含有ガス及び該塩素又は臭素
    含有ガスの双方を該誘電層の該エッチング中存在させる
    請求項21記載の方法。
  23. 【請求項23】 該塩素又は臭素含有ガスが、該ビアエ
    ッチングプロセスが進行した後に該下地金属を露出する
    のに十分な時間使用される請求項21記載の方法。
  24. 【請求項24】 該フッ素含有ガスがCHF3 、C
    4 、C2 6 又はその組合わせを含む請求項20記載
    の方法。
  25. 【請求項25】 該塩素又は臭素含有ガスがCl2 、H
    Cl、BCl3 、Br2 、HBr又はその組合わせを含
    む請求項20記載の方法。
  26. 【請求項26】 該マスク材料を除去しかつ該誘電層上
    に重層金属層を付着するステップを更に含み、その重層
    金属層が該ビアに伸びかつ各ビアを通って該下地層とコ
    ンタクトを作る請求項20記載の方法。
JP7173689A 1994-07-08 1995-07-10 ビアのプラズマエッチング改良方法 Withdrawn JPH08172077A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/272356 1994-07-08
US08/272,356 US5514247A (en) 1994-07-08 1994-07-08 Process for plasma etching of vias

Publications (1)

Publication Number Publication Date
JPH08172077A true JPH08172077A (ja) 1996-07-02

Family

ID=23039447

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7173689A Withdrawn JPH08172077A (ja) 1994-07-08 1995-07-10 ビアのプラズマエッチング改良方法

Country Status (4)

Country Link
US (1) US5514247A (ja)
EP (1) EP0691678A3 (ja)
JP (1) JPH08172077A (ja)
KR (1) KR100392447B1 (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3073906B2 (ja) * 1995-03-27 2000-08-07 財団法人国際超電導産業技術研究センター 超電導デバイスの製造方法
JPH0964366A (ja) * 1995-08-23 1997-03-07 Toshiba Corp 薄膜トランジスタ
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5843846A (en) * 1996-12-31 1998-12-01 Intel Corporation Etch process to produce rounded top corners for sub-micron silicon trench applications
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6165375A (en) 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
US5935762A (en) * 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US5976977A (en) * 1997-11-21 1999-11-02 United Microelectronics Corp. Process for DRAM capacitor formation
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6291356B1 (en) 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
US6391786B1 (en) * 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6576547B2 (en) 1998-03-05 2003-06-10 Micron Technology, Inc. Residue-free contact openings and methods for fabricating same
GB2337026B (en) * 1998-03-09 2000-11-08 United Microelectronics Corp Method of etching silicon nitride
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6492276B1 (en) 1998-05-29 2002-12-10 Taiwan Semiconductor Manufacturing Company Hard masking method for forming residue free oxygen containing plasma etched layer
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6379872B1 (en) * 1998-08-27 2002-04-30 Micron Technology, Inc. Etching of anti-reflective coatings
US6613681B1 (en) 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6274486B1 (en) 1998-09-02 2001-08-14 Micron Technology, Inc. Metal contact and process
US6117793A (en) 1998-09-03 2000-09-12 Micron Technology, Inc. Using silicide cap as an etch stop for multilayer metal process and structures so formed
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6214742B1 (en) * 1998-12-07 2001-04-10 Advanced Micro Devices, Inc. Post-via tin removal for via resistance improvement
US6184119B1 (en) * 1999-03-15 2001-02-06 Vlsi Technology, Inc. Methods for reducing semiconductor contact resistance
US6114253A (en) * 1999-03-15 2000-09-05 Taiwan Semiconductor Manufacturing Company Via patterning for poly(arylene ether) used as an inter-metal dielectric
JP2000286549A (ja) 1999-03-24 2000-10-13 Fujitsu Ltd バイアコネクションを備えた基板の製造方法
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6207570B1 (en) 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6458648B1 (en) * 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
KR100557583B1 (ko) * 1999-12-30 2006-03-03 주식회사 하이닉스반도체 반도체 소자의 평탄화 방법
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
US6350699B1 (en) * 2000-05-30 2002-02-26 Sharp Laboratories Of America, Inc. Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
KR100393976B1 (ko) 2001-06-09 2003-08-09 주식회사 하이닉스반도체 마스크 패턴밀도에 따른 반도체 웨이퍼의 식각 속도변화를 최소화하는 방법
US6660642B2 (en) 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
US7055532B2 (en) * 2003-12-18 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method to remove fluorine residue from bond pads
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7288472B2 (en) 2004-12-21 2007-10-30 Intel Corporation Method and system for performing die attach using a flame
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
CN115185129B (zh) * 2022-06-07 2024-02-09 深圳技术大学 介质膜过孔的刻蚀方法、液晶显示面板及液晶显示器

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4661204A (en) * 1985-10-25 1987-04-28 Tandem Computers Inc. Method for forming vertical interconnects in polyimide insulating layers
JPS6411345A (en) * 1987-07-03 1989-01-13 Matsushita Electric Ind Co Ltd Formation of contact between interconnections
JPH0279428A (ja) * 1988-09-14 1990-03-20 Sony Corp ドライエッチング方法
JP3185150B2 (ja) * 1991-03-15 2001-07-09 日本テキサス・インスツルメンツ株式会社 半導体装置の製造方法
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
KR940008323B1 (ko) * 1991-10-16 1994-09-12 삼성전자 주식회사 반도체장치의 층간접속방법
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3195066B2 (ja) * 1992-09-18 2001-08-06 株式会社東芝 ドライエッチング方法
EP0608628A3 (en) * 1992-12-25 1995-01-18 Kawasaki Steel Co Method for manufacturing a semiconductor device having a multi-layer interconnection structure.
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5420076A (en) * 1994-01-03 1995-05-30 Texas Instruments Incorporated Method of forming a contact for multi-level interconnects in an integrated circuit

Also Published As

Publication number Publication date
EP0691678A3 (en) 1997-10-01
KR960005833A (ko) 1996-02-23
EP0691678A2 (en) 1996-01-10
US5514247A (en) 1996-05-07
KR100392447B1 (ko) 2004-01-24

Similar Documents

Publication Publication Date Title
JPH08172077A (ja) ビアのプラズマエッチング改良方法
US5302240A (en) Method of manufacturing semiconductor device
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
KR100451487B1 (ko) 반도체웨이퍼에칭방법
KR100309617B1 (ko) 염화수소,염소함유에칭액,및질소를이용하여알루미늄및알루미늄합금을에칭시키는방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US4444618A (en) Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
US5925577A (en) Method for forming via contact hole in a semiconductor device
KR100880131B1 (ko) 유기질 저유전율 재료의 에칭 방법
US6291356B1 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US4472237A (en) Reactive ion etching of tantalum and silicon
JPH0218578B2 (ja)
JP2003506866A (ja) エッチングプロセス用側壁ポリマー形成ガス添加物
JPH0670989B2 (ja) 臭化水素によるシリコンの反応性イオンエッチング
JPH0758079A (ja) キセノンを用いたプラズマエッチング
US5851302A (en) Method for dry etching sidewall polymer
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6271115B1 (en) Post metal etch photoresist strip method
EP0507183A2 (en) Process for selective deposition of a polymer coating
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US4937643A (en) Devices having tantalum silicide structures
Gorowitz et al. Reactive ion etching
JPH04350939A (ja) 銅配線の形成方法
US6399509B1 (en) Defects reduction for a metal etcher
JP3079656B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20021001