JPH0758079A - キセノンを用いたプラズマエッチング - Google Patents

キセノンを用いたプラズマエッチング

Info

Publication number
JPH0758079A
JPH0758079A JP6134508A JP13450894A JPH0758079A JP H0758079 A JPH0758079 A JP H0758079A JP 6134508 A JP6134508 A JP 6134508A JP 13450894 A JP13450894 A JP 13450894A JP H0758079 A JPH0758079 A JP H0758079A
Authority
JP
Japan
Prior art keywords
etching
substrate
gas
etchant
xenon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6134508A
Other languages
English (en)
Other versions
JP3574680B2 (ja
Inventor
Steven Mak
マック スティーヴン
Brian Shieh
シア ブライアン
Charles S Rhoades
ステファン ローデス チャールズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0758079A publication Critical patent/JPH0758079A/ja
Application granted granted Critical
Publication of JP3574680B2 publication Critical patent/JP3574680B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【目的】 本発明は、エッチング速度が高く、基板対レ
ジストのエッチング選択率が高く、微小充填性を低減し
た異方性エッチング処理方法を提供する事を目的とす
る。 【構成】 結晶粒界を有しレジストを塗布した基板をチ
ャンバー内のエッチング領域に置き、1次エッチャン
ト、2次エッチャント及びキセノンを備えたプロセスガ
スをエッチング領域に導入する。プラズマをエッチング
領域に発生してプロセスガスをエッチングガスに変え、
エッチング速度が高く、基板対レジストのエッチング選
択率が高く、微小充填性を低減した異方性エッチング処
理を実現した。好適には、この1次エッチャントはCl
2 を備え、2次エッチャントはBCl3 を備える。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体ウエハのエッチ
ング処理に関する。
【0002】
【従来の技術】反応性イオンエッチング処理は、集積回
路チップ等サブミクロンサイズの造作(ぞうさく)(fea
tures)を有する半導体やその他のディバイスの製作に用
いられる。これらの処理は、フォトレジストや酸化物ハ
ードマスク等エッチングに耐性を持つレジスト材に保護
された基板上の部分を選択的にエッチングする工程に用
いられる。レジストに保護された部分は基板上の造作と
なり、その造作は処理される集積回路の部分になる。エ
ッチングは、チャンバー内にプロセスガスを導入しチャ
ンバー内にプラズマを発生して、プロセスガスをエッチ
ングガスに変えることで行われる。エッチングガスが基
板をエッチングすると揮発性のエッチング副産物が生成
するが、その副産物は後からチャンバーより除かれる。
【0003】
【発明が解決しようとする課題】代表的なプロセスガス
は、Cl2 、BCl3 、場合によりN2 を備える。この
プロセスガスに関し、チャンバー壁上及びレジスト材の
上に残留物ないし堆積物が比較的厚く形成されるという
問題がある。その中には、エッチングガスがレジスト材
と化学反応を起こして堆積物が形成される場合もある。
チャンバー壁上の堆積物がはがれ落ちて粒子となり、ウ
エハを汚染する事がある。更に、レジスト上に多量の残
留物が形成されれば、望ましくないことであるがレジス
ト材で保護される面積が増加し、処理されたウエハが使
用に供さなくなる。
【0004】堆積層は、エッチングにより新たに出来た
基板上の溝の側壁上にも生成する事がある。この堆積物
は、エッチングの継続を抑止する「不動態被覆層」(pas
sivating layer) として作用し、等方性エッチングない
しアンダーカットを防止する。等方性エッチングの場合
は、被覆されない部分を垂直にエッチングが進むのでは
なく、レジスト層の下の部分を水平にエッチングが進む
ため、造作の下側の部分が内向きに傾斜してしまう。従
って、垂直方向への異方性エッチングが望ましいのであ
るが、しかし不動態層が側壁に多量に堆積すればそれを
除去することは難しい。よって、堆積物の蓄積があまり
生じないエッチング処理又は、エッチング処理中に堆積
層をエッチングすることによって蓄積物を減ずるような
エッチング処理を用いることが望ましい。
【0005】更に、代表的な反応イオンエッチング系で
は、側面形状(profile)の微小充填度(microloading)
が高くなってしまう。側面形状の微小充填度が高くなれ
ば、基板上に形成された造作の断面形状が造作間の間隔
次第で変化するようになる。造作間の距離によらず均一
な断面を有する造作を提供するエッチング処理を用いる
ことが望ましい。
【0006】また、処理効率のための高いエッチング速
度が得られ、レジスト層のエッチング速度が基板のエッ
チング速度より実質的に低くなり、エッチング選択率が
高くなる事が望ましい。
【0007】プラズマエッチング処理においては、ガス
のイオン化に要するエネルギーが低く済むよう、プロセ
スガスは低いイオン化ポテンシャルを有することが望ま
しい。また、エッチング効率を増進するエネルギー移動
反応がいろいろなガス種の間で生ずるよう、広い範囲の
励起エネルギーを有するプロセスガスの方が有利であ
る。また、エッチングガスによる処理装置の腐食効果が
最小になるよう、ガスは低腐食性である方が好ましい。
【0008】従って、チャンバー壁上に生ずる堆積物を
最小にし、エッチングされた溝の側壁上へ余分な堆積物
が生ずることなく、実質的に異方性エッチングを提供
し、造作のサイズが過大になることなく、側面形状の微
小充填度を減ずるような、半導体基板を選択的にエッチ
ングする処理が必要である。また、エッチング速度が高
くなり、基板対レジストのエッチング選択率が高くなる
方が望ましい。またプロセスガスは、腐食性が低くイオ
ン化ポテンシャルが低く、励起エネルギーの範囲が広い
方が好ましい。
【0009】
【課題を解決するための手段】本発明は、上記の要請を
満足させる処理に向いている。本発明の処理では、結晶
粒界を有しレジストを有する基板はエッチング領域内に
置かれ、プロセスガスがこのエッチング領域内に導入さ
れる。プロセスガスは酸素を含まず:i)塩素、弗素及
び臭素より成る群より選択される1次エッチャントと;
ii)基板の結晶粒界のエッチングに適する2次エッチ
ャントと;iii)キセノンとを備える。N2 、HC
l、CHF3 、CF4 、CH4 又はこれらの混合体等の
不動態被覆ガスをプロセスガスに添加してもよい。エッ
チング領域内では、実質的に酸素を含まないプラズマが
発生し、基板を選択的にエッチングするエッチングガス
がプロセスガスより生成する。
【0010】2次エッチャントは基板上の酸化層表面の
エッチングに適したものが好適であり、更に好適には、
2次エッチャントはBCl3 、SiCl4 、CCl4
びこれらの混合体より成る群より選択される。
【0011】基板対レジストのエッチング選択率が2.
5より大きくなるように、1次エッチャント:2次エッ
チャント:キセノン体積流量比率が選ばれる。好適に
は、基板内の結晶粒界をエッチングする速度が、結晶粒
をエッチングする速度と実質的に同等となるように、1
次エッチャントに対する2次エッチャントの流量比率が
選ばれる。よって、1次エッチャントに対する2次エッ
チャントの流量比率は、好適には約0.1:1から約
2:1までであり、更に好適には約0.3:1から約
0.5:1までである。
【0012】基板が実質的に異方性エッチングされるよ
うに、キセノン対1次エッチャント体積流量比率が選ば
れることが好ましい。よって、キセノン:1次エッチャ
ントの比は、好適には約0.1:1から約1:1までで
あり、更に好適には約0.2:1から約0.5:1まで
である。不動態被覆ガス:1次エッチャントの比は、好
適には約1:1であり、更に好適には約0.6:1であ
る。
【0013】
【実施例】本発明は、結晶粒界を有しレジストを有する
基板をエッチングする反応イオンエッチング処理に向
く。プロセスガスは、基板を含むエッチング領域に導入
される。プロセスガスは酸素を含まず:i)塩素、弗素
及び臭素より成る群より選択される1次エッチャント
と;ii)基板の結晶粒界のエッチングに適する2次エ
ッチャントと;iii)キセノンとを備える。エッチン
グ領域内では、実質的に酸素を含まないプラズマが発生
し、基板を選択的にエッチングするエッチングガスがプ
ロセスガスより生成する。
【0014】図1(a)、(b)を参照すれば、基板2
0は半導体、金属、ガラス若しくはセラミクスのウエハ
22であってもよい。基板20は通常シリコン又はガリ
ウムヒ素ウエハであり、その上に複数の層24を有す
る。基板上の層24は、金属、酸化物、窒化物、ポリシ
リコン若しくはケイ化物の層であってもよい。
【0015】実質的にエッチングに耐性を有する、フォ
トレジストや酸化物ハードマスク等レジスト材26は基
板層の最上面に塗布される。図1(a)によれば、レジ
スト26はパターンを持ったオーバーレイで塗布されて
もよい。レジスト26のオーバーレイは基板部分を保護
し、この保護された基板部分は、基板20がエッチング
処理された後に造作28、即ち処理される半導体ディバ
イスになる部分である。今日の技術においては、造作2
8は横方向に約0.5から約10ミクロンの大きさで形
成する事が可能であり、造作28同士の間隙30は少な
くとも約0.5ミクロンのオーダーにする事が可能であ
る。
【0016】基板20は通常結晶粒及び結晶粒界を有し
ている。結晶粒一つ一つは、実質的に整然とした結晶構
造を有する。この結晶粒界とは結晶粒同士の界面の事で
あり、結晶粒の整然とした構造からの起点を表し、酸化
薄膜等不純物を含有する事がある。
【0017】図2を参照すれば、本発明の実施に適した
装置50は、エッチング領域54を有するエッチングチ
ャンバー52を備える。基板20は通常エッチングチャ
ンバー52内のカソード56上に置かれる。プロセスガ
スは、ガス流入口58を通ってエッチングチャンバー5
2内に導入される。そして、ガスは、「シャワーヘッ
ド」拡散板60を通過するが、この拡散板60はエッチ
ング領域54内でプロセスガスを散布する。フォーカス
リング62を用いて、エッチング領域54内でプラズマ
を実質的に維持してもよい。
【0018】貫通する複数の排気ノズル72を有する障
壁あるいはポンプ板70は、エッチングチャンバー52
を、エッチング領域54と非エッチング領域74の二つ
の部分に分割する。排気口72では、使用済みプロセス
ガス及び揮発性エッチング副生成物をエッチングチャン
バー52より排出するための排気ポート76を通じて、
真空ポンプにより流体を伝達する。反応装置50は、エ
ッチングチャンバー52の周囲に電磁コイル80を有
し、エッチング領域54内に形成されたプラズマを磁気
的に励起する磁気的励起反応器であってもよい。
【0019】反応装置50の使用時は、基板20はカソ
ード56上に置かれ、プロセスガスがガス流入口58を
通りエッチング領域54内に導入される。実質的に酸素
を含まないプラズマがエッチング領域54内に発生し、
基板を選択的にエッチングするエッチングガスがプロセ
スガスより生成する。エッチングガスの流れは、矢印8
2で示される。
【0020】1次エッチャントは、好適には塩素ガスで
ある。2次エッチャントは、好適にはBCl3 、SiC
4 、CCl4 及びこれらの混合体より成る群より選択
され、更に好適には、2次エッチャントはBCl3 であ
る。プロセスガスは、N2 、HCl、CHF3 、C
4 、CH4 及びこれらの混合体より成る群より選択さ
れる不動態被覆ガスを更に備えていてもよい。プロセス
ガスは、Wilmington,Delaware のE.I.Du Pont de Nemou
rs社で製造される「FREON−14」等のクロロフル
オロカーボンを、更に備えていてもよい。
【0021】プロセスガスの組成は、1次エッチャン
ト:2次エッチャント:キセノン体積流量比率を調整す
ることで、制御される。ここでの「体積流量比率」と
は、他のガスの単位時間当たりの体積に対する、一方の
ガスの単位時間当たりの体積の比率の事である。ガスの
流速は、質量流量コントローラーを用いて測定される。
1次エッチャント対2次エッチャント対キセノンの3部
比率が、エッチング速度、チャンバー壁上で見られる堆
積物の量、並びにエッチング溝側壁上への堆積量を制御
すると考えられている。
【0022】基板のレジストに対するエッチング選択比
率が2.5を越えるように、1次エッチャント:2次エ
ッチャント:キセノン体積流量比率が選ばれる事が好ま
しい。エッチング選択比率は、基板がエッチングされる
速度をレジストがエッチングされる速度で割った値で定
義される。キセノンをプロセスガスへ添加することによ
り、キセノンを含まないエッチングガスよりも高いエッ
チング選択性を有するプロセスガスを提供できる。しか
し、キセノン添加量が多過ぎると、基板のエッチング速
度が低下する。
【0023】基板上の結晶粒界のエッチング速度が、基
板上の結晶粒のエッチング速度と実質的に同じになるよ
うに、2次エッチャントの1次エッチャントに対する体
積流量比率が選ばれる。2次エッチャントの1次エッチ
ャントに対する体積流量比率は、好適には約0.1:1
から約2:1、更に好適には約0.3:1から0.5:
1である。
【0024】レジストがエッチングされる速度より実質
的に高い速度で、基板が実質的に異方性エッチングされ
るよう、キセノンの1次エッチャントに対する体積流量
比率が選ばれる。キセノンの1次エッチャントに対する
体積流量比率は、好適には約0.1:1から約1:1、
更に好適には約0.2:1から約0.5:1である。
【0025】不動態被覆ガスの1次エッチャントに対す
る体積流量比率は、好適には約1:1未満であり、更に
好適には0.6:1である。不動態被覆ガスの量が十分
でない場合は、アンダーカット、即ちレジスト材の下が
エッチングされる事態が発生する。不動態被覆ガスの量
が過剰であった場合は、エッチング速度は低下し、チャ
ンバー壁上や新たにエッチングされた溝上や、側壁上
に、余分な堆積物を形成する。
【0026】プロセスガスは、毎分5000オングスト
ローム以上の基板エッチング速度が得られるに十分な流
速で導入される。1次エッチャントの流速は、好適には
約10から約100sccm、更に好適には約40から
約60sccmである。
【0027】プロセスガスがエッチング領域54に導入
された後、実質的に酸素を含まないプラズマが発生し、
プロセスガスをエッチングガスに変える。エッチングガ
スは、基板20をエッチングする。プラズマ発生に使用
するDCバイアスは、一般に約−250から約−450
ボルトであり、電力は通常約600から約1000ワッ
トであり、更に好適には約700から900ワットであ
り、最も好適には約800ワットである。
【0028】電子サイクロトロン共鳴、磁気励起反応
器、誘導結合プラズマ等の方法で、プラズマは励起され
る。好適には、磁気励起反応器を使用する。反応器内の
磁界は、プラズマ中に形成されるイオンの濃度が増加す
るに十分強い必要があるが、CMOSゲート等の造作を
損ねるチャージアップ損傷を誘発するほど強くする必要
はない。一般に、ウエハ表面上の磁界は500ガウスを
越えることはなく、通常約10から約100ガウスであ
り、更に好適には約20から約80ガウスである。チャ
ンバーは通常約1ミリトール(mTorr) から約300ミリ
トールの圧力に維持され、好適には少なくとも約100
ミリトール、最も好適には約100ミリトールから約2
00ミリトールである。
【0029】カソードは、カソードの下方にあるランプ
等熱源を用いて加熱される。カソードは、好適にはエッ
チング副産物が揮発するに十分高い温度であって、新た
にエッチングされた溝の側壁上の不動態被覆堆積物の薄
層が揮発しない十分低い温度に加熱される。カソード
は、通常約50℃から約100℃に加熱され、更に好適
には約60℃から約90℃に加熱される。ウエハの背面
を約10トールの圧力のヘリウムを流して、ウエハの温
度を制御してもよい。
【0030】チャンバー壁上への堆積物の形成を減少す
るため、チャンバー壁の金属を加熱する必要がある。チ
ャンバー壁は、好適には基板の温度より低い温度であっ
て約45℃から約85℃に加熱され、更に好適には約6
5℃に加熱される。
【0031】エッチング処理の間、処理条件は変化して
もよい。例えば、基板上の多層をエッチングする場合、
通常複数の段階の処理になる。各段階における処理条件
は、エッチングされる層によって決められてもよい。
【0032】ウエハのエッチング後、ドライストリッッ
ピング又はドライストリッピングとウエット処理との組
合わせにより、残留レジスト材及びウエハ造作上の側壁
堆積物を除去した。ドライストリッピングは、「BRANSO
N 」レジストエッチャーを用いて処理時間75分で行う
か、「GASONICS」レジストストリッパを用いて2分で処
理するかの何れかの方法で行われていた。ウエット処理
は、「ACT-150 」の商標で販売される既存のストリッピ
ング製品を用いて90℃で10分間の浸漬で行われる
か、「Ashland ROA 」の商標で販売される既存のエチレ
ングリコールベースのストリッピング溶剤中に3分浸漬
するか何れかの方法で行われてもよい。
【0033】以下の実施例によって、本発明の有効性を
示す。実施例は、磁気励起反応性イオン反応器、特に、
アルミニウムエッチングPhase II process kitを用い
た、Santa Clara,California のApplied Materials 社
より入手可能な「Precision 5000」Mark II システムを
用いて行われた。ウエハは、直径200mm(8イン
チ)のシリコンウエハに、順に厚さ0.1ミクロンのチ
タン層;銅0.5%を含有した1ミクロンのアルミニウ
ム層;0.04ミクロンのTiN;そして選択された領
域への厚さ約1.5ミクロンのレジストを被覆されたも
のを使用した。レジストには、g線フォトレジスト材を
使用した。レジストはウエハ表面積の約50%に塗布さ
れ、間隔及び大きさの異なる造作が描かれた。最も高密
度の造作は、その間隔が約0.8ミクロンであり、造作
の幅は通常0.6ミクロンであった。
【0034】エッチング前に、ウエハは全て110℃で
30分間ベークされた。エッチング処理終了後、ウエハ
は蒸留水でリンスされ、100℃で30分間ベークされ
た。
【0035】以下に示す実施例の中で、エッチング済ウ
エハのSEM写真を用いて(i)フォトレジストの残
存、(ii)側壁平滑性、(iii)プラズマエッチン
グ処理直後又は上述のドライ/ウエットストリップ工程
後に造作に残存した堆積物の量の評価に用いられること
があった。部分的にエッチングされたウエハにおける造
作の深さを測定して、エッチング速度を計算した。
【0036】複数の段階でウエハがエッチングされた場
合、光学放出技術(optical omission
technique)を用いてチャンバーから排気さ
れるガスの組成を監視し、エッチングの進行を監視し
た。このガスの組成が変化した時は、1つの層が終わり
までエッチングされ、これから第2の層がエッチングさ
れる事を示していた。この時、プロセスガスの組成及び
他の処理条件が変化し、これからエッチングされる層へ
のエッチング効率が大きくなる。
【0037】実施例1−2 プロセスガスにキセノンを添加した場合のエッチング処
理をキセノンを含まない場合と比較するため、表1に示
すように2種類の実験が行われた。BCl3 及びキセノ
ンの流速のみを実験中に変化させた。キセノンを添加し
た実施例2の方がエッチング選択性が高く側面形状の微
小充填度が良好であった事が、認められた。またエッチ
ング後に残存したフォトレジスト層の厚さは、実施例1
では0.8ミクロン残っていたのに対し、実施例2では
0.9ミクロンであった。よって、キセノンの添加によ
って、エッチング選択性及び側面形状の微小充填度が向
上した。
【0038】
【表1】
【0039】実施例3−4 実施例3及び4の処理条件は表2に示す通りである。ウ
エハは3段階:予備エッチング、主エッチング、最終エ
ッチング:で処理された。予備エッチングは、TiN上
側層を貫通しこの下のアルミニウム層をエッチングしよ
うとする時点まで行われた。主エッチングは、アルミニ
ウム層を終わりまでエッチングした時点、あるいは底部
の終点(End Point at Bottom) を表す「EPB」までエ
ッチングした時点まで行われた。全段階において、チャ
ンバーから排出されるガスの組成を光学放出技術を用い
て監視して、エッチングの進行状況を監視した。エッチ
ングチャンバーより排出されるガス中のアルミニウム含
量が実質的に減少した時点をもって、EPBと決めた。
最終エッチングは、TiN下層がエッチングされる時点
まで行われた。
【0040】
【表2】
【0041】実施例3及び4によれば、主エッチングの
段階でのキセノン添加が堆積物の生成を減少する工程を
提供しフォトレジスト対金属の高いエッチング選択比を
提供する事が、明らかになった。エッチング後のフォト
レジスト層の厚さは、実施例3では0.98ミクロン残
っていたのに対し、キセノンを用いた実施例4では1.
12ミクロンであった。
【0042】実施例5−14 この一連の実施例では、1次エッチャント:2次エッチ
ャント:キセノンの比率を、L9 (34 )直交行列を使
った要因設計を用いて変化させた。電力、Xe:Cl2
比、N2 :Cl2 比、HCl:Cl2 比の4つの処理変
数に対して、表3に示すように3つのレベルの値を選択
した。
【0043】
【表3】
【0044】
【表4】
【0045】これらの実施例では、圧力は200ミリト
ールに維持され磁界は20ガウスに維持された。カソー
ド温度は80℃に、チャンバー壁温度は65℃に維持さ
れた。ウエハの背面には圧力10トールのヘリウムガス
を流した。塩素ガスの流速は80sccmに維持され、
BCl3 の流速は全プロセスガスの流速を合せた流速の
9%に一定で維持された。ストリッピング操作後に残存
していた残留物、造作の側壁の粗さ及びエッチング選択
比の各測定の結果、これら実施例の中で、電力レベルが
700ワット、キセノン:塩素ガスの比が0.5、窒素
ガス:塩素ガスの比が0.2という条件の実施例5が最
良の結果を提供した。
【0046】造作同士が接近しているか否かにかかわら
ず、造作28の側壁90が下方のウエハから85°〜9
0°の角を成して、縦断面の微小充填度が減少した。し
かし、実施例の多くでは、新たにエッチングされた造作
の側壁のエッジは粗く、これは望ましい事ではない。エ
ッジが粗くなる理由は、造作28の側壁90上に形成さ
れる不動態堆積物の量が不十分な事と考えられる。電力
レベル及びXe/Cl2 比が増加すれば側壁の粗さも増
大した。
【0047】エッチング速度についても、満足できる結
果が得られた。アルミニウム層のエッチング速度は実質
的に変化しなかったが、エッチング選択比(エッチング
後に基板に残ったフォトレジスト層の厚さ「PR残存」
によって示される)は電力レベルによって変化した。電
力が高い場合は、エッチング後のフォトレジスト残存量
は少なくなった。
【0048】側壁90上への堆積物もまた、減少した。
しかし、キセノンガスの流量が高い場合は、ウエハ基板
20上の造作の密集する部分において、造作28の側壁
90上への堆積物は増加した。
【0049】微小寸法の測定は、ウエハの中心及びウエ
ハのエッジにおける最も小さい造作に対して行われる。
このウエハの最小造作の幅は、約0.6ミクロンである
必要があった。しかし、走査電子顕微鏡を用いた測定に
よれば、0.6ミクロンより小さな幅が測定された。電
力を高めXe:Cl2 を増加すれば微小寸法が減少する
事が、この測定によって見出された。
【0050】実施例15−27 実施例15から27までの処理条件は、表5に示される
通りである。従来技術であるCl2 /BCl3 /N2
プロセスガス組成を備えた実施例15を、キセノンを含
んだ実施例と比較するためのベースラインとして用い
た。実施例16−27は、造作28の側壁90の粗さを
減少し、ウエハの造作が多数近接する領域への堆積物9
2量を減少する事を試みた実験である。
【0051】
【表5】
【0052】これら一連の実施例によれば、磁界を20
ガウスより60ガウスまで増加しCl2 流速を約50s
ccmまで落とすことにより、多数の造作が近接するウ
エハの領域における残留物92を減少できることが示さ
れている。また一般に、実施例27のように100ミリ
トールという低い圧力での処理や、実施例22のように
カソード温度の低い処理は、滑らかな側壁を持つ造作を
提供した。
【0053】実施例16では、実施例15のBCl3
キセノンで置き換えることにより、側壁への堆積が薄く
なった。実施例17では実施例16の処理条件にBCl
3 を加えて実験を行い、残留物の除去にはBCl3 が必
要であることを示した。キセノンを除いた実施例18で
は、残留物が多く形成された。実施例19では、塩素ガ
スの量を減らし磁界を高めることで、残留物の形成が減
少した。実施例20では、電力レベルを幾分下げること
で側壁の平滑性を向上した。実施例21では電力レベル
を更に下げてみたところ、Ti層のエッチングにおいて
アンダーカットが生じた。実施例22ではカソード温度
を低く維持したが、ウエハの造作密集領域で残留物の量
が増加した。実施例23ではCF4 を添加したが、残留
物の蓄積量が増加した。実施例24では、HClの添加
により残留物の蓄積量が増加した。実施例25では窒素
ガスの量を増加することにより、縦断面の微小充填度が
向上した。実施例26においては、カソード温度の上昇
は残留物を減少させなかった。実施例27では、圧力の
低下が基板の空き領域への残留物の増加を招いた。
【0054】本発明に従った処理によれば、高い(毎分
5000オングストロームより高い)エッチング速度、
基板対レジストの良好なエッチング選択性、及びレジス
トの下に形成された造作の側壁の満足な縦断面が提供さ
れる事が、これら一連の実施例によって示された。
【0055】尚、ここでは本発明に好適な具体例を引用
して詳細な説明を行ってきたが、他の形態での実施も可
能である。例えば、本発明のエッチング処理を、半導体
ウエハの代わりに基板をエッチング処理する事に利用す
る等である。
【0056】
【発明の効果】以上詳細に説明してきた様に、本発明
は、レジストエッチング速度より高い基板エッチング速
度で、造作側壁上に適切な量の不動態被覆層を形成する
エッチング処理の方法を提供する。従って、本発明のエ
ッチング方法を用いれば、良好な側面形状の微小充填度
を備え、基板対レジストのエッチング選択性を向上した
異方性エッチングを実現する。
【図面の簡単な説明】
【図1】(1)は、レジストを塗布したウエハの縦断面
図であり、(2)はエッチング処理後のレジストを塗布
したウエハの縦断面図である。
【図2】本発明のエッチング処理に好適な装置の縦断面
図である。
【符号の説明】
20…基板、22…ウエハ、24a、b、c…層、26
…レジスト、28…造作、30…間隙、50…装置、5
2…エッチングチャンバー、54…エッチング領域、5
6…カソード、58…ガス流入口、60…拡散板、62
…フォーカスリング、70…ポンプ板、72a、b…排
気ノズル、76…排気ポート、80…電磁コイル、82
…矢印。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ブライアン シア アメリカ合衆国, カリフォルニア州 94538, フレモント, グアルディノ ドライヴ ナンバー102 39059 (72)発明者 チャールズ ステファン ローデス アメリカ合衆国, カリフォルニア州 95032, ロス ガトス, ハーウッド ロード 16494

Claims (26)

    【特許請求の範囲】
  1. 【請求項1】 結晶粒界を有しレジストをその上に有す
    る基板を選択的にエッチングする方法であって、 (a)エッチング領域内に基板を置くステップと、 (b)(i)塩素、弗素及び臭素から成る群より選択さ
    れる1次エッチャントと(ii)上記基板の結晶粒界の
    エッチングに適する2次エッチャントと(iii)キセ
    ノンとを備える酸素非含有のプロセスガスを上記エッチ
    ング領域内に導入するステップと、 (c)実質的に酸素非含有であるプラズマを上記エッチ
    ング領域に発生させ上記プロセスガスよりエッチングガ
    スを生じ、上記エッチングガスが上記基板を選択的にエ
    ッチングするステップと、 を備えるエッチング方法。
  2. 【請求項2】 上記基板はその表面に酸化物層を有し、
    並びに上記2次エッチャントは上記酸化物層表面のエッ
    チングに適する請求項1記載のエッチング方法。
  3. 【請求項3】 上記2次エッチャントはBCl3 、Si
    Cl4 、CCl4 及びこれらの混合体より成る群より選
    択される請求項1記載のエッチング方法。
  4. 【請求項4】 上記プロセスガスは不動態被覆ガス(gas
    passivator)を更に備える請求項1記載のエッチング方
    法。
  5. 【請求項5】 上記不動態被覆ガスはN2 、HCl、C
    HF3 、CF4 、CH4 及びこれらの混合体より成る群
    より選択される請求項4記載のエッチング方法。
  6. 【請求項6】 上記プロセスガスはクロロフルオロカー
    ボンガスを更に備える請求項1記載のエッチング方法。
  7. 【請求項7】 レジストに対する上記基板のエッチング
    選択率が約2.5より大きいように1次エッチャント:
    2次エッチャント:キセノン体積流量比率(volumetric
    flow ratio )が選択される請求項1記載のエッチング方
    法。
  8. 【請求項8】 上記基板の上記結晶粒界をエッチングす
    る速度が上記結晶粒をエッチングする速度と実質的に等
    しいように、2次エッチャント対1次エッチャント体積
    流量比率が選択される請求項1記載のエッチング方法。
  9. 【請求項9】 2次エッチャント対1次エッチャント体
    積流量比率が約0.1:1から約2:1までである請求
    項1記載のエッチング方法。
  10. 【請求項10】 2次エッチャント対1次エッチャント
    体積流量比率が約0.3:1から約0.5:1までであ
    る請求項9記載のエッチング方法。
  11. 【請求項11】 上記基板が(i)実質的に異方的に、
    (ii)上記レジストがエッチングされる速度より実質
    的に高い速度で、エッチングされるようキセノン対1次
    エッチャント体積流量比率が選択される請求項1記載の
    エッチング方法。
  12. 【請求項12】 キセノン対1次エッチャント体積流量
    比率が約0.1:1から約1:1までである請求項1記
    載のエッチング方法。
  13. 【請求項13】 キセノン対1次エッチャント体積流量
    比率が約0.2:1から約0.5:1までである請求項
    12記載のエッチング方法。
  14. 【請求項14】 不動態被覆ガス対1次エッチャント体
    積流量比率が約1:1未満である請求項4記載のエッチ
    ング方法。
  15. 【請求項15】 不動態被覆ガス対1次エッチャント体
    積流量比率が約0.6:1未満である請求項14記載の
    エッチング方法。
  16. 【請求項16】 プロセスガスを導入する上記ステップ
    が、毎分約5000オングストロームより高い速度で上
    記基板をエッチングするに十分なプロセスガスを導入す
    る工程を備える請求項1記載のエッチング方法。
  17. 【請求項17】 上記基板は、金属、酸化物、窒化物及
    びケイ化物層より成る群より選択される複数の層をその
    上に有する半導体ウエハを備える請求項1記載のエッチ
    ング方法。
  18. 【請求項18】 ウエハを選択的にエッチングする方法
    であって、 (a)シリコン及びガリウムヒ素より成る群より選択さ
    れ、その上に(i)金属、酸化物、窒化物及びケイ化物
    層より成る群より選択される複数の層と(ii)レジス
    ト材とを有する基板をエッチング領域に置くステップ
    と、 (b)(i)1次エッチャントCl2 と(ii)BCl
    3 、SiCl4 、CCl4 及びこれらの混合体から成る
    群より選択される2次エッチャントと(iii)キセノ
    ンとを備えるプロセスガスを、約0.1:1から約2:
    1までの2次エッチャント対1次エッチャント体積流量
    比率並びに約0.1:1から約1:1までのキセノン対
    1次エッチャント体積流量比率で上記エッチング領域内
    に導入するステップと、 (c)上記エッチャント領域にプラズマを発生させて上
    記プロセスガスからエッチングガスを生成し、上記エッ
    チングガスが上記レジストよりも上記基板上の層の方を
    選択してエッチングし揮発性のエッチング副生成物を生
    成するステップと、 (d)上記揮発性エッチング副生成物を上記エッチング
    領域より除去するステップと、 を備えるエッチング方法。
  19. 【請求項19】 上記プロセスガスはN2 、HCl、C
    HF3 、CF4 、CH4 及びこれらの混合体より成る群
    より選択される不動態被覆ガスを更に備え、不動態被覆
    ガス対1次エッチャント体積流量比率が約1:1未満で
    ある請求項18記載のエッチング方法。
  20. 【請求項20】 2次エッチャント対1次エッチャント
    体積流量比率が約0.3:1から約0.5:1までであ
    る請求項18記載のエッチング方法。
  21. 【請求項21】 キセノン対1次エッチャント体積流量
    比率が約0.2:1から約0.5:1までである請求項
    18記載のエッチング方法。
  22. 【請求項22】 プロセスガスを導入する上記ステップ
    が、毎分約5000オングストロームより高い速度で上
    記基板をエッチングするに十分なプロセスガスを導入す
    る工程を備える請求項18記載のエッチング方法。
  23. 【請求項23】 シリコンウエハ上の層を選択的にエッ
    チングする方法であって、 (a)(i)金属、酸化物、窒化物及びケイ化物層より
    成る群より選択される複数の層と(ii)レジスト材と
    を自身の上に有するシリコン基板をエッチング領域に置
    くステップと、 (b)上記ウエハを70℃より高い温度に加熱するステ
    ップと、 (c)Cl2 とBCl3 とキセノンとを備えるプロセス
    ガスを、約0.1:1から約2:1までのBCl3 対C
    2 体積流量比率並びに約0.1:1から約1:1まで
    のキセノン対Cl2 体積流量比率で上記エッチング領域
    内に導入するステップと、 (d)上記エッチング領域の圧力を1ミリトール(mT
    orr)より高い圧力に維持するステップと、 (e)電子サイクロトロン共鳴、磁気励起反応器及び誘
    導結合プラズマより成る群より選択される方法により上
    記エッチング領域に励起プラズマを発生させて上記プロ
    セスガスよりエッチングガスを生成し、上記エッチング
    ガスが上記レジストよりも上記基板の層の方を選択して
    エッチングし揮発性のエッチング副生成物を生成するス
    テップと、 (f)上記揮発性エッチング副生成物を上記エッチング
    領域より除去するステップと、 を備えるエッチング方法。
  24. 【請求項24】 上記プロセスガスはN2 、HCl、C
    HF3 、CF4 、CH4 及びこれらの混合体より成る群
    より選択される不動態被覆ガスを更に備え、不動態被覆
    ガス対Cl2 体積流量比率が約0.1:1から約1:1
    までである請求項23記載のエッチング方法。
  25. 【請求項25】 BCl3 及びキセノン対Cl2 体積流
    量比率が約0.3:1から約0.5:1までである請求
    項23記載のエッチング方法。
  26. 【請求項26】 キセノン対Cl2 体積流量比率が約
    0.2:1から約0.5:1までである請求項23記載
    のエッチング方法。
JP13450894A 1993-06-16 1994-06-16 キセノンを用いたプラズマエッチング Expired - Fee Related JP3574680B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/078,131 US5384009A (en) 1993-06-16 1993-06-16 Plasma etching using xenon
US08/078131 1993-06-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004128872A Division JP2004247755A (ja) 1993-06-16 2004-04-23 キセノンを用いたプラズマエッチング

Publications (2)

Publication Number Publication Date
JPH0758079A true JPH0758079A (ja) 1995-03-03
JP3574680B2 JP3574680B2 (ja) 2004-10-06

Family

ID=22142103

Family Applications (2)

Application Number Title Priority Date Filing Date
JP13450894A Expired - Fee Related JP3574680B2 (ja) 1993-06-16 1994-06-16 キセノンを用いたプラズマエッチング
JP2004128872A Pending JP2004247755A (ja) 1993-06-16 2004-04-23 キセノンを用いたプラズマエッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2004128872A Pending JP2004247755A (ja) 1993-06-16 2004-04-23 キセノンを用いたプラズマエッチング

Country Status (3)

Country Link
US (1) US5384009A (ja)
EP (1) EP0637067A3 (ja)
JP (2) JP3574680B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005310960A (ja) * 2004-04-20 2005-11-04 Matsushita Electric Ind Co Ltd 金属膜のパターン形成方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3009975B2 (ja) * 1992-11-30 2000-02-14 シャープ株式会社 シリコン薄膜のドライエッチング方法
JPH07130702A (ja) * 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
US5607599A (en) * 1994-11-17 1997-03-04 Kabushiki Kaisha Toshiba Method of processing a magnetic thin film
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
JP3484332B2 (ja) * 1997-11-11 2004-01-06 Tdk株式会社 薄膜磁気ヘッドの製造方法
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
JPH11176805A (ja) * 1997-11-14 1999-07-02 Siemens Ag 半導体装置の製造方法
US5970376A (en) * 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6177337B1 (en) 1998-01-06 2001-01-23 International Business Machines Corporation Method of reducing metal voids in semiconductor device interconnection
US6228775B1 (en) 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
GB2337361B (en) * 1998-05-06 2000-03-29 United Microelectronics Corp Method of etching tantalum oxide layer
US6544429B1 (en) 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6350699B1 (en) * 2000-05-30 2002-02-26 Sharp Laboratories Of America, Inc. Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6555166B2 (en) 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US7374696B2 (en) * 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
US20040195208A1 (en) * 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7147122B2 (en) * 2004-03-11 2006-12-12 Crown Packaging Technology, Inc. Easy open can end
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US20070117396A1 (en) * 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US8278222B2 (en) * 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
CA2690697A1 (en) * 2009-01-27 2010-07-27 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
US20120083129A1 (en) * 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
FR3086462A1 (fr) 2018-09-21 2020-03-27 Stmicroelectronics (Crolles 2) Sas Procede de fabrication d'un composant electronique
JP7325256B2 (ja) * 2019-08-05 2023-08-14 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
JPS56137367A (en) * 1980-03-28 1981-10-27 Ricoh Co Ltd Jam detecting and processing system for copying machine
JPS56137637A (en) * 1980-03-31 1981-10-27 Chiyou Lsi Gijutsu Kenkyu Kumiai Etching of aluminum film
US4468285A (en) * 1983-12-22 1984-08-28 Advanced Micro Devices, Inc. Plasma etch process for single-crystal silicon with improved selectivity to silicon dioxide
US5108543A (en) * 1984-11-07 1992-04-28 Hitachi, Ltd. Method of surface treatment
JPS62111432A (ja) * 1985-11-08 1987-05-22 Fujitsu Ltd 半導体装置の製造方法
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0265132A (ja) * 1988-08-30 1990-03-05 Sony Corp ドライエッチング方法
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4949350A (en) * 1989-07-17 1990-08-14 Bell Communications Research, Inc. Surface emitting semiconductor laser
US5034344A (en) * 1989-07-17 1991-07-23 Bell Communications Research, Inc. Method of making a surface emitting semiconductor laser
JPH0378229A (ja) * 1989-08-21 1991-04-03 Matsushita Electron Corp プラズマエッチング方法
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
US5202291A (en) * 1990-09-26 1993-04-13 Intel Corporation High CF4 flow-reactive ion etch for aluminum patterning
JPH05234959A (ja) * 1991-08-16 1993-09-10 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
JPH05326515A (ja) * 1992-05-19 1993-12-10 Fujitsu Ltd 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005310960A (ja) * 2004-04-20 2005-11-04 Matsushita Electric Ind Co Ltd 金属膜のパターン形成方法
JP4620964B2 (ja) * 2004-04-20 2011-01-26 パナソニック株式会社 金属膜のパターン形成方法

Also Published As

Publication number Publication date
EP0637067A2 (en) 1995-02-01
US5384009A (en) 1995-01-24
JP3574680B2 (ja) 2004-10-06
EP0637067A3 (en) 1995-05-17
JP2004247755A (ja) 2004-09-02

Similar Documents

Publication Publication Date Title
JP3574680B2 (ja) キセノンを用いたプラズマエッチング
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
KR100309617B1 (ko) 염화수소,염소함유에칭액,및질소를이용하여알루미늄및알루미늄합금을에칭시키는방법
US4256534A (en) Device fabrication by plasma etching
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
JP4852196B2 (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
US5827437A (en) Multi-step metallization etch
JP2002543613A (ja) 低容量の誘電体層をエッチングするための技術
JP2004519838A (ja) 窒化チタンをエッチングする方法
JP2003506866A (ja) エッチングプロセス用側壁ポリマー形成ガス添加物
JP2006066408A (ja) ドライエッチング方法
JPH1092798A (ja) 単結晶シリコンのエッチング方法
JPH08172077A (ja) ビアのプラズマエッチング改良方法
JPS6352118B2 (ja)
JPH0670989B2 (ja) 臭化水素によるシリコンの反応性イオンエッチング
WO1997036322A1 (en) Methods and apparatus for minimizing etch rate loading
JP2009278142A (ja) エッチングすべき構造物の幅の臨界寸法増大を抑制する方法
JPH05226299A (ja) 半導体装置の製造方法
WO1997045866A1 (en) Mechanism for uniform etching by minimizing effects of etch rate loading
JP2003518738A (ja) シリコンの金属マスクエッチング方法
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US6756314B2 (en) Method for etching a hard mask layer and a metal layer
JPH10178014A (ja) 半導体装置の製造方法
JP2681058B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040422

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040614

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040705

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees