CA2690697A1 - Selective etching and formation of xenon difluoride - Google Patents

Selective etching and formation of xenon difluoride Download PDF

Info

Publication number
CA2690697A1
CA2690697A1 CA2690697A CA2690697A CA2690697A1 CA 2690697 A1 CA2690697 A1 CA 2690697A1 CA 2690697 A CA2690697 A CA 2690697A CA 2690697 A CA2690697 A CA 2690697A CA 2690697 A1 CA2690697 A1 CA 2690697A1
Authority
CA
Canada
Prior art keywords
chamber
silicon
xef2
plasma generator
fluorine containing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA2690697A
Other languages
French (fr)
Inventor
Dingjun Wu
Eugene Joseph Karwacki, Jr.
Anupama Mallikarjunan
Andrew David Johnson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/360,588 external-priority patent/US8278222B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CA2690697A1 publication Critical patent/CA2690697A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

This invention relates to a process for selective removal of materials, such as: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic, and mixtures thereof, from silicon dioxide, silicon nitride, nickel, aluminum, TiNi alloy, photoresist, phosphosilicate glass, boron phosphosilicate glass, polyimides, gold, copper, platinum, chromium, aluminum oxide, silicon carbide and mixtures thereof. The process is related to the important applications in the cleaning or etching process for semiconductor deposition chambers and semiconductor tools, devices in a micro electro mechanical system (MEMS), and ion implantation systems. Methods of forming XeF2 by reacting Xe with a fluorine containing chemical are also provided, where the fluorine containing chemical is selected from the group consisting of F2, NF3, C2F6, CF4, C3F8, SF6, a plasma containing F atoms generated from an upstream plasma generator and mixtures thereof.

Description

SELECTIVE ETCHING
AND
FORMATION OF XENON DIFLUORIDE

BACKGROUND OF THE INVENTION
[0002] In the electronics industry various deposition techniques have been developed wherein selected materials are deposited on a target substrate to produce electronic components such as semiconductors. One type of deposition process is chemical vapor deposition (CVD), wherein gaseous reactants are introduced into a heated processing chamber resulting in films being deposited on the desired substrate. One subtype of CVD is referred to a plasma enhanced CVD (PECVD) wherein a plasma is established in the CVD processing chamber.
[0003] Generally, all methods of deposition result in the accumulation of films and particulate materials on surfaces other than the target substrate, that is, the deposition materials also collect on the walls, tool surfaces, susceptors, and on other equipment used in the deposition process. Any material, film and the like that builds up on the walls, tool surfaces, susceptors and other equipment is considered a contaminant and may lead to defects in the electronic product component.
[0004] It is well accepted that deposition chambers, tools, and equipment must be periodically cleaned to remove unwanted contaminating deposition materials. A
generally preferred method of cleaning deposition chambers, tools and equipment involves the use of perfluorinated compounds (PFC's), e.g., C2F6, CF4, C3F8, SF6, and NF3 as etchant cleaning agents. In these cfeaning_ operations a chemically active fluorine species, which is normally carried in a process gas, converts the unwanted and contaminating residue to volatile products. Then, the volatile products are swept with the process gas from the reactor.
[0005] Ion implantation is used in integrated circuit fabrication to accurately introduce controlled amounts of dopant impurities into semiconductor wafers and is a crucial process in microelectronic/semiconductor manufacturing. In the ideal case, all feedstock molecules would be ionized and extracted, but in reality a certain amount of feedstock decomposition occurs, which results in the deposition on and contamination on the surfaces in the ion source region, or parts of the ion implanter tool, such as, low voltage insulators and the high voltage components. The known contamination residues are silicon, boron, phosphorus, germanium or arsenic. It would be a significant advance in the art of ion implantation to provide an in situ cleaning process for the effective, selective removal of unwanted residues deposited throughout the implanter, particularly in the ion source region, during implantation. Such in situ cleaning would enhance personnel safety and contribute to stable, uninterrupted operation of the implantation equipment. A gas-phase reactive halide composition, e.g., XeF2 , NF3 , F2 , XeF6, SF6 , C2F6 , IFs or IF7 , is introduced to the contaminated parts for sufficient time and under sufficient conditions to at least partially remove the residue from the components, and to do so in such a manner that residue is removed selectively with respect to the materials from which the components of the ion implanter are constructed.
[0006] In a micro electro mechanical system (MEMS), a mixture of sacrificial layers (usually with amorphous silicon) and protective layers, thus device structure layers, are formed. Selectively removing the sacrificial materials is a critical step for the structure release etching process, where several microns of sacrificial material need to be removed isotropically without damaging other structures. It has been understood that the etching process is a selective etching process that does not etch the protective layers.
Typical sacrificial materials used in MEMS are: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium. Typical protective materials are nickel, aluminum, photoresist, silicon oxide, silicon nitride.
[0007] In order to efficiently remove the sacrificial material, the release etching utilizes an etchant gas capable of spontaneous chemical etching of the sacrificial layers, preferably isotropic etching that removes the sacrificial layers. Because the isotropic etching effect of xenon difluoride is great, xenon difluoride (XeF2) is used as the etchant for lateral etching process.
[0008] However, xenon difluoride is expensive, and is a material difficult to deal with.
Xenon difluoride is unstable on contact with air, light or water vapor (moisture). All xenon fluorides must be protected from moisture, light and air to avoid formation of xenon trioxide and hydrogen fluoride. Xenon trioxide is a colorless, nonvolatile solid that's dangerously explosive. Hydrogen fluoride is not only dangerous, but also reduces efficiency of etching.
[0009] Additionally, xenon difluoride is a solid having a low vapor pressure which makes deliver of xenon difluoride to the process chamber difficult.
[0010] The following references are illustrative of processes for the deposition of films in semiconductor manufacture and the cleaning of deposition chambers, tools and equipment and the etching of substrates, the etching of sacrificial layers in a MEMS, and for the cleaning of the ion source region in an ion implantation system used in the fabrication of a microelectronic device:
[0011] US 5,421,957 discloses a process for the low temperature cleaning of cold-wall CVD chambers. The process is carried out, in situ, under moisture free conditions.
Cleaning of films of various materials such as epitaxial silicon, polysilicon, silicon nitride, silicon oxide, and refractory metals, titanium, tungsten and their silicides is effected using an etchant gas, e.g., nitrogen trifluoride, chlorine trifluoride, sulfur hexafluoride, and carbon tetrafluoride.
[0012] US 6,051,052 discloses the anisotropic etching of a conduct material using fluorine compounds, e.g., NF3 and C2F6 as etchants in an ion-enhanced plasma.
The etchants consist of a fluorine containing chemical and a noble gas selected from the group consisting of He, Ar, Xe and Kr. The substrates tested include integrated circuitry associated with a substrate. In one embodiment a titanium layer is formed over an insulative layer and in contact with the tungsten plug. Then, an aluminum-copper alloy layer is formed above the titanium layer and a titanium nitride layer formed above that.
[0013] US 2003/0047691 discloses the use of electron beam processing to etch or deposit materials or repair defects in lithography masks. In one embodiment xenon difluoride is activated by electron beam to etch tungsten and tantalum nitride.
[0014] GB 2,183,204 A discloses the use of NF3 for the in situ cleaning of CVD
deposition hardware, boats, tubes, and quartz ware as well as semiconductor wafers.
NF3 is introduced to a heated reactor in excess of 350 C for a time sufficient to remove silicon nitride, polycrystalline silicon, titanium silicide, tungsten silicide, refractory metals and silicides.
[0015] Holt, J. R., et al, Comparison of the Interactions of XeF2 and F2 with Si(100)(2X1), J. Phys. Chem. B 2002, 106, 8399-8406 discloses the interaction of XeF2 with Si(100)(2X1) at 250 K and provides a comparison with FZ. XeF2 was found to react rapidly and isotropically with Si at room temperature.
[0016] Chang, F. I., Gas-Phase Silicon Micromachining With Xenon Difluoride, SPIE
Vol. 2641/117-127 discloses the use of XeF2 as a gas phase, room temperature, isotropic, silicon etchant and noted that it has a high selectivity for many materials used in microelectromechanical systems such as aluminum, photoresist and silicon dioxide.
At page 119 it is also noted that XeF2 has a selectivity of greater that 1000:1 to silicon dioxide as a well as copper, gold, titanium-nickel alloy and acrylic when patterned on a silicon substrate.
[0017] Isaac, W.C. et al, Gas Phase Pulse Etching of Silicon For MEMS With Xenon Difluoride, 1999 IEEE, 1637-1642 discloses the use of XeF2 as an isotropic gas-phase etchant for silicon. It is reported that XeF2 has high selectivity to many metals, dielectrics and polymers in integrated circuit fabrication. The authors also note at page 1637 that XeF2 did not etch aluminum, chromium, titanium nitride, tungsten, silicon dioxide, and silicon carbide. Significant etching also had been observed for molybdenum:silicon; and titanium:silicon, respectively.
[0018] Winters, et al, The Etching of Silicon With XeF2 Vapor, Appl. Phys.
Left. 34(1) 1 January 1979, 70-73 discloses the use of F atoms and CF3 radicals generated in fluorocarbon plasma induced dissociation of CF4 in etching solid silicon to produce volatile SiF4 species. The paper is directed to the use of XeF2 to etch silicon at 300 K at 1.4 X 10-2 Torr. Other experiments showed that XeF2 also rapidly etches molybdenum, titanium and probably tungsten. Etching of Si02, Si3N4 and SiC was not effective with XeF2 but etching was effective in the presence of electron or ion bombardment.
The authors concluded that etching of these material required not only F atoms but also radiation or high temperature.
[0019] US 6870654 and US 7078293 both disclose a structure release etching process by using an etchant having a fluorine group or a chroleine group to replace xenon difluoride, avoiding the difficulties resulting from using the xenon difluoride.
However, the etching effect is not as efficient as the use of xenon difluoride. Therefore, US 6870654 and US 7078293 disclose a special structure for facilitate the structure release etching process such that the processing time etc is commensurate with that of xenon difluoride.
[0020] US20060086376 discloses the use of XeFZfor cleaning the residues (silicon, boron, phosphorus, germanium or arsenic) from the components of the ion implanter, in the fabrication of a microelectronic device.
[0021] Specifically, US20060086376 relates to the in situ removal of residue from the vacuum chamber and components contained therein by contacting the vacuum chamber and/or components with a gas-phase reactive halide composition, e.g., XeF2 for sufficient time and under sufficient conditions to at least partially remove the residue from the components, and to do so in such a manner that residue is removed selectively with respect to the materials from which the components of the ion implanter are constructed.
[0022] One of industry objectives is to find new etchants that can be used to remove difficult to remove titanium nitride (TiN) films from silicon dioxide (Si02) and silicon nitride (SiN) coated surfaces. Theses surfaces are found in the walls of semiconductor deposition chambers, particularly quartz chambers and quartz ware, semiconductor tools and equipment. Many of the conventional fluorine based etchants that attack TiN films also attack SiO2 and SiN surfaces and, therefore, unacceptable for removing TiN
deposition products from semiconductor deposition chambers and equipment.
[0023] Another industry objective is to provide a method for selective removal of silicon, from silicon dioxide (quartz) surfaces such as those commonly found in semiconductor deposition chambers and semiconductor tools, as well as devices in MEMS.
[0024] There is yet another industry objective for providing a method for generating or forming xenon difluoride on site as needed for lower cost of ownership.

BRIEF SUMMARY OF THE INVENTION
[0025] This invention relates to an improved process for the selective removal of titanium nitride (TiN) films and deposition products from silicon dioxide (quartz) surfaces such as those commonly found in semiconductor deposition chambers and semiconductor tools as well as silicon nitride (SiN) surfaces commonly found in semiconductor tool parts and the like. In a basic process for removing undesired components contaminating a surface an etchant is contacted with the undesired component in a contact zone and the undesired component converted to a volatile species. The volatile species then is removed from the contact zone. The improvement in the basic process for removing undesired TiN deposition materials from a surface selected from the group consisting of Si02 and SiN in a contact zone resides in employing xenon difluoride (XeF2) as the etchant. Conditions are controlled so that said surface selected from the group consisting of Si02 and SiN is not converted to a volatile component.
[0026] Significant advantages in terms of selective etching of TiN films and deposition materials which are very difficult to remove from semiconductor deposition chambers (sometimes referred to as reaction chambers), tool parts, equipment and the like include:

an ability to selectivity remove TiN films from quartz, i.e., Si02, and SiN
coated surfaces found in the cleaning of deposition chambers;

an ability to remove TiN films from quartz surfaces at modest temperatures; and, an ability to activate perfluoro etching agents in remote plasma to remove TiN films from Si02 and SiN surfaces without adverse effects normally caused by fluorine atoms attacking in the remote plasma.
[0027] The present invention also discloses a process for the selective etching of a first material to a second material, comprising:

providing a structure containing the first material and the second material in a chamber;

providing an etchant gas comprised of xenon(Xe), an inert gas and a fluorine containing chemical to the chamber;

contacting the structure with the etchant gas and selectively converting the first material to a volatile species; and removing the volatile species from the chamber;

wherein the first material is selected from the group consisting of silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic, and mixtures thereof; and the second material is selected from the group consisting of silicon dioxide, silicon nitride, nickel, aluminum, TiNi alloy, photoresist, phosphosilicate glass, boron phosphosilicate glass, polyimides, gold, copper, platinum, chromium, aluminum oxide, silicon carbide , and mixtures thereof.
[0028] The present invention also discloses a process of forming xenon difluoride in a chamber, comprising:

providing a fluorine containing chemical selected from the group consisting of NF3, C2F6, CF4, C3F8i SF6, a plasma containing F atoms generated from an upstream plasma generator, and mixtures thereof to the chamber; and forming the xenon difluoride by reacting xenon with the fluorine containing chemical in the chamber.

BRIEF DESCRIPTION OF THE DRAWINGS
[0029] Fig. 1 is a plot of the etch rate of a silicon substrate as a function of the level of Xe vis-a-vis Ar in an NF3 remote plasma.
[0030] Fig. 2 is a plot of the etch rate of Si02 as a function of the level of Xe vis-a-vis Ar in an NF3 remote plasma.
[0031] Fig. 3 is a plot comparing the etch selectivity of silicon to silicon dioxide as a function of the level of Xe vis-a-vis Ar in an NF3 remote plasma.
[0032] Fig. 4 is a plot of Fourier Transform Infrared spectrum (FTIR) spectra from Ar/NF3 and Xe/NF3 in an NF3 remote plasma.
[0033] Fig. 5 is a plot of Fourier Transform Infrared spectrum (FTIR) spectra from Xe/NF3 in an NF3 remote plasma.
[0034] Fig. 6 is a plot of XeFZand XeF4 Fourier Transform Infrared spectrum (FTIR) peak heights as a function of Xe/(Xe+Ar) in an NF3 remote plasma.
[0035] Fig. 7 is a plot of XeF2 and XeF4 Fourier Transform Infrared spectrum (FTIR) peak heights as a function of Xe/NF3 flow ratio in an NF3 remote plasma.
[0036] Fig. 8 is a plot of XeF2 Fourier Transform Infrared spectrum (FTIR) peak height and etch selectivity of silicon to silicon dioxide as a function of Xe/(Xe+Ar) in an NF3 remote plasma.
[0037] Fig. 9 is a plot of the etch rate of TiN as a function of temperature and the level of Xe vis-a-vis Ar in an NF3 remote plasma.
[0038] Fig. 10 is a plot of the etch rate of silicon dioxide as a function of temperature and the level of Xe vis-a-vis Ar in an NF3 remote plasma.
[0039] Fig. 11 is a plot comparing the etch selectivity of TiN to silicon dioxide as a function of the level of Xe vis-a-vis Ar in an NF3 remote plasma.

DETAILED DESCRIPTION OF THE INVENTION
[0040] The deposition of titanium nitride (TiN) is commonly practiced in the electronics industry in the fabrication of integrated circuits, electrical components and the like. In the deposition process some of the TiN is deposited on surfaces other than the surface of the target substrate, e.g., walls and surfaces within the deposition chamber. It has been found that XeF2 is effective as a selective etchant for TiN contaminating silicon dioxide (SiO2) and silicon nitride (SiN) surfaces. With this finding one can use xenon difluoride (XeF2) as an etchant for removing unwanted TiN films and deposition materials contaminating surfaces such as those found in semiconductor reactor or deposition chambers, tools, equipment, parts, and chips coated or lined with silicon dioxide (quartz) or silicon nitride.
[0041] In the removal of unwanted TiN residues from SiO2 and SiN surfaces, such as those in a deposition chamber, XeF2 is contacted with the surface in a contact zone under conditions for converting TiN to volatile TiF4, and then removing the volatile species from the contact zone. Often, the XeF2 is added along with an inert gas, e.g., N2, Ar, He, and the like.
[0042] In carrying out the process for removing TiN deposition materials from SiN and SiO2 surfaces, XeF2 may be preformed prior to introduction to the contact zone, or for purposes of this invention, and by definition herein, XeF2 may be formed by two methods.
[0043] In one embodiment of the in situ formation of XeF2, xenon (Xe) is added to a fluorine containing chemical and charged to a remote plasma generator. There Xe reacts with F atoms present in the resulting remote plasma to form XeF2.
[0044] In the other embodiment, a variation of the in situ embodiment, the fluorine containing chemical is added to the remote plasma generator and then Xe and the remote plasma containing F atoms are added to a chamber downstream of the remote plasma generator. There Xe reacts with F atoms to form XeF2 in the chamber.
The chamber can be any type of a chamber, such as, but not limited to a processing chamber, a deposition chamber, a cleaning chamber, a reactor, and a plasma generator.
[0045] Illustrative of this fluorine containing chemical for forming XeF2 includes F2, NF3, perfluorocarbons as C2F6, CF4, C3F8, sulfur derivatives such as SF6, and remote plasma containing F atoms generated from an upstream plasma generator. In the preferred embodiment NF3 is used as the fluorine containing chemical for the formation of XeF2.
[0046] The fluorine containing chemical can be generated onsite. For example, F2 is generated onsite using a halogen generator and then introducing the F2 to the process.
This would be a possible means to mitigate the hazards associated with handling fluorine.
[0047] A wide range of Xe to fluorine containing chemical can be used in the in situ process of forming XeF2. The mole ratio of Xe to fluorine containing chemical is dependant upon the amount of XeF2 formed vis-a-vis the level of. F atoms in the remote plasma.
[0048] Not being bound by theory it is believed that the remote p,asma acts as a source for excitation and dissociation of the fluorine containing chemical that are introduced as the fluorine source. The fluorine radicals subsequently react with the Xe present in the reg;on just after the plasma generation zone. The path length of this zone in addition to the energy used to excite the fluorine containing species as well as Xe, are believed to be critical parameters in balancing a preference for XeF2 and a mitigation of XeF4.
[0049] Furthermore, it is believed that if the Xe is introduced in the space just after the plasma excitation zone can lead to even further reductions in XeF4 formation because the Xe has not been excited. It is well known that Xenon has a very low metastable energy state. The formation of this metastable state can lead to additional collisional reactions between XeF2 molecules formed within this zone. These collisions could result in dissociation of XeF2 to XeF and F radicals. These species could then lead to further reactions with other XeF2 molecules to form XeF4. Thus, by introducing the Xe post plasma excitation, the Xe metastables are not formed. Thus, the formation of XeF4 will be decreased. This is disclosed in the second embodiment, i.e.
the variation of the in situ embodiment, wherein Xe is added to remote plasma containing F
atoms generated upstream in a plasma generator.
[0050] Preferred mole ratios are from 1:10 to 10:1 Xe to fluorine containing chemical.
Optionally an inert gas, e.g., argon can be included in the remote plasma generation of XeF2 as a means of adjusting the selectivity etching of TiN to Si02 and SiN or Si to Si02 and SiN.
[0051] Pressures suited for the removal of TiN from Si02 and SiN surfaces range from 0.5 to 50 Torr, preferably from 1 to 10 Torr. Temperatures for effecting selective etching of TiN films from silicon dioxide surfaces (quartz) and SiN surfaces depend primarily on which method the process is carried out. By that it is meant that if XeF2 is preformed and added directly to the contact zone, temperatures should be elevated to at least 100 C, e.g., 100 to 800 C, preferably from 150 to 500 C. Pressures for XeF2 should be at least 0.1 Torr, e.g., 0.1 to 20 Torr, preferably from 0.2 to 10 Torr. In contrast to prior art processes where the rate of etching (Si etching) decreases as the temperature is increased, here the rate of etching increases with an increase in temperature.
It is believed the increase in temperature increases the rate of TiN etching because TiF4 is volatile under these conditions and is easily removed from the Si02 and SiN
surface.
Lower temperatures leave TiF4 species near the Si02 and SiN surfaces blocking the attack of XeF2.
[0052] In the in situ process of forming XeF2 cleaning or etching is done in the presence of a remote plasma. Temperatures when a remote plasma is present may range from ambient to 500 C, preferably from ambient to 300 C.
[0053] The disclosed processes of forming XeF2 provide significant advance for the in situ cleaning process. In that, they not only provide a process to produce XeF2 at a lower cost, they also provides an effective, selective removal of unwanted residues without requiring a major shut down, further lower the cost of maintenance. In addition, the disclosed processes use high vapor pressure gases rather than a low vapor pressure solid. This improves productivity since higher gas flows, and hence higher etch rates, are possible.
[0054] A further benefit from using the disclosed processes of forming XeF2, is that in addition to XeF2 some free fluorine radicals will also be provided which might help facilitate the removal of residues that may not be reactive when in contact with just XeF2. This is beneficial to all selective cleaning/etching applications, such as cleaning parts and semiconductor tools coated with Si02 having some residues deposited unwanted thereon; the etching of sacrificial layers in a MEMS, and the residue cleaning in the ion source region of an ion implantation system used in the fabrication of a microelectronic device.
[0055] The following examples are provided to illustrate various embodiments of the invention and are not intended to restrict the scope thereof.

Example 1 Effectiveness of XeF2 In Etching Of Deposition Materials At Various Temperatures and Pressures [0056] In this example, the etch rates for TiN, Si02, and SiN were determined using XeF2 as the etchant at various temperatures and pressures. Experimental samples were prepared from Si wafers coated with thin films of TiN, Si02, and SiN.
Etch rates were calculated by the thin film thickness change between the initial film thickness and that thickness after a timed exposure to the etching or processing conditions.
[0057] To effect etching bulk XeF2 gas was introduced from a cylinder into the reactor chamber through an unused remote plasma generator. The XeF2 gas pressure in the reactor chamber was held constant by turning off the flow from the cylinder once the desired pressure was reached.
[0058] The test coupons were placed on the surface of a pedestal heater which was used to maintain different substrate temperatures. The results are shown in Table I
below.

Table I
ETCH RATES FOR VARIOUS MATERIALS USING XeF2 Material Temperature Pressure Etch ( C) (Torr) Rate nm/min Ti N 25 1 0 TiN 100 1 0 TiN 150 1 8 TiN 200 1 13 TiN 300 0.5 20 Si02 300 0.5 0 SiN 100 1 0 SiN 150 1 0 SiN 300 1 0 [0059] The above results show that at a pressure of 0.5 to 1 Torr, XeF2 was effective in etching TiN films at elevated temperatures of from 150 to 300 C and not effective at 25 C room temperature. Surprisingly XeF2 did not etch an SiO2 or an SiN
surface at any of the temperatures and pressures employed but did etch TiN films at such temperatures. Because of the inability of XeF2 to etch Si02 and SiN surfaces at these elevated temperatures, but did etch TiN films, it was concluded that XeF2 could be used as a selective etching agent for TiN films and particles from Si02 and SiN
surfaces.

Example 2 Selective etching of Si to SiO2 [0060] In this example, an MKS Astron remote plasma generator was mounted on top of a reactor chamber. The distance between the exit of the Astron generator and the sample coupon was about six inches. The remote plasma generator was turned on but the pedestal heater in the reactor chamber was turned off. The chamber was kept at room temperature. The etch rate of both Si and Si02 substrates using remote plasma was measured.
[0061] The process gas to the remote plasma was NF3 and it was mixed with a second gas stream in various amounts. The second gas stream was comprised of either Xe, argon (Ar), or a combination thereof. The total gas flowrate to the reactor chamber was fixed at 400 sccm and the NF3 flowrate was fixed at 80 sccm. While keeping the total flowrate of the second gas stream at 320 sccm, the ratio of the flowrate of Xe to the total flowrate of the second gas stream (Xe/(Ar+Xe)) was varied between 0 (only Ar as the additional process gas) and 1 (only Xe as the additional process gas). The results of Si substrate etching are shown in Figure 1 and the results of Si02 substrate etching are shown in Figure 2.
[0062] As Figure 1 shows, addition of Xe to the process gas, NF3, enhanced the Si etch rate. What was unexpected is that the addition of Xe to a remote plasma generator along with NF3 would generate a plasma that enhanced Si etching.
[0063] Figure 2 shows that the addition of Xe to an NF3/argon plasma inhibited the Si02 substrate etch rate and this was unexpected. F atoms present in a remote plasma usually attack Si02 based substrates.
[0064] Along with the analysis of Figure 1, it was surmised that the addition of Xe to the plasma resulted in enhancing Si substrate etching, but reducing or inhibiting Si02 substrate etching as noted in Example 1.
[0065] Fig. 3 is provided to compare the effect of the addition of Xe to the process gas on the etch selectivity for Si vis-a-vis Si02. As can be seen by comparing the results in Figures 1 and 2, Fig. 3 shows that the etch selectivity for Si relative to Si02 increased as the amount of Xe in the process gas was increased.
Specifically, the selectivity increased from 30 to 250 (> 8 times) as the percentage of Xe in the gas stream was increased from 0% to 100%.
[0066] Typical sacrificial materials in MEMS are: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium. Typical protective materials are nickel, aluminum, photoresist, silicon oxide, silicon nitride.

Example 3 Selective etching of molybdenum(Mo) to Si02 [0067] A large 2.5 m length 25 cm diameter cylindrical SS etch chamber was used to determine etch rate of another common sacrificial material in MEMS
applications:
molybdenum (Mo). The remote plasma was generated using a water-cooled MKS
Astron AX7670 6 slpm unit. The plasma source was connected to the chamber by a 10 cm long transport tube with a 4 cm inner diameter. The sample was placed 2 feet from the loading/unloading end of the tube.
[0068] At 2.75 torr, 275 sccm NF3 flow and 600 sccm Xe or Ar flow, etch rate of Mo =
1.1 microns/min. Etch rate of Si02was 82 nm/min for NF3/Ar gas mixture and was nm/min for NF3/Xe mixture. Thus, selectivity of Xe/NF3 mixture was at least 3 times that of Ar/NF3 mixture. Note that Mo etch rate is limited by the surface oxide.
With a surface preparation treatment to break its native oxide, the etch rate of Mo can be enhanced to >
2.7 micron/min.

Example 4 In Situ Formation of XeF2 Via Reaction Of Xe and NF3 [0069] In this example the procedure of Example 2 was followed. An Applied Materials P5000 DxZ2 PECVD chamber fitted with a 6slpm MKS Astron eX remote plasma generator was used for Fourier Transform Infrared spectrum (FTIR) studies. The FTIR
measurements were made downstream of the chamber pump at ambient pressure. A
5.6 m path length cell at 150 C was used. Instrument resolution was 2 cm-'.
[0070] Figure 4 shows the FTIR spectra collected under same conditions as in Example 2: pressure of 4 torr, total gas flow of 400 sccm, NF3 flow of 80 sccm, total flow of Xe and Ar of 320 sccm. Clear dominant peaks were seen in the 500-600 cm-' range in the Xe/NF3 spectra whereas Ar/NF3 spectra showed no peaks in that region. The two major peaks at 551.5 cm-' and 570.3 cm-' were identified as XeF2 peaks.
Reference spectra from XeF3 manufacturers showed peak positions at 550.8 and 566.4 cm-'.
[0071] Figure 5 shows that where Xe and NF3 were present, 3 dominant peaks were observed at 551, 570 and 590 cm-1. XeF2 was identified by peaks at 551, 567 cm-' whereas XeF4 is detected at 580, 590 cm"'. The peak at 567 cm-' was therefore a combination of the 567 and 580 cm-' peaks. Thus, both XeF2 and XeF4 were formed in the Xe/NF3 mixture. No evidence of XeF6 or XeOF4 formation was found from FTIR
spectra.
[0072] Table II shows several conditions where pressure was varied from 0.5 to 5 torr, Xe flow rate was varied from 200-1000 sccm, and NF3 flow rate was varied from 50 to 500 sccm. In all cases, XeF2 peaks were detected. The peak values were recorded here.

Table II

Pressure (torr) 0.5 4 5 2.75 5 2 NF3 (sccm) 50 80 200 275 50 500 Xe (sccm) 200 320 500 600 1000 1000 Peak Value (530.1 cm-1) 0.06 0.07 0.16 0.22 0.09 0.33 Peak value (570.3 cm-1) 1.01 1.18 1.35 1.35 1.36 1.42 Peak value (590 cm-1) 0.43 0.43 1.63 1.42 0.18 1.58 Peak Value (603.1 cm-1) 0.07 0.07 0.44 0.27 0.04 0.31 [0073] The peaks tended to saturate under some conditions, hence the leading edge of the XeF2 peak at 520.1 cm-' and the trailing edge of the XeF4 peak at 603.1 cm-' were analyzed in addition. The XeF2 /XeF4 ratio was defined as the ratio of peak height values at 530 cm-' and 603 cm-'.
[0074] The experimental results using response surface regression were summarized below in Table Ill.

Table Ill ?eak HeigF,t 530.1 551.5 592 603.1 Ratio 603.1/530.1 Sigr,3ficance Leading XeF2 signal XeF2 niax XeF4max Trai4ing XeF4 signal XeF2/XeF4 Xe Weak Moderate Weak Weak Strong up NF3 Strong Strong Strong Strong Strong down p Weak Moderate Strong Strong Strong do+vn [0075] Note that the flow of Xe is > the flow of NF3 under all conditions here, so NF3 was a stronger factor. Higher NF3 flow increased both XeF2 and XeF4 peaks, and Xe had a weak influence on the peaks (due to excess Xe being present). Pressure had a weak effect on XeF2 peaks but strong on XeF4 peaks. Astron operating pressure is typically 1-10 torr.
[0076] Therefore, pressure is a key parameter to control the formation of XeF4. XeF4 can hydrolyze to produce Xe03 - which is an explosive and shock sensitive compound.
Under the current experimental conditions, the XeF2/XeF4 ratio can be maximized under high Xe, low NF3 and low pressure conditions. For example, the flow rate of Xe was 1000 sccm, the flow rate of NF3 was 50 sccm, the pressure was at 0.5 torr.
[0077] Figure 6 shows the XeF2 FTIR peak height and XeF4 FTIR peak height as a function of Xe/(Xe+Ar). The unit for the peak height was arbitrary. As Xe flow fraction increased, XeF2 produced increased and XeF4 fraction decreased. High Xe flow desired to maximize the formation of XeF2 relative to XeF4. Figure 7 shows the ratio of XeF2 / XeF4 FTIR peak heights as a function of the ratio of Xe/NF3 flow rates. Clearly, high ratios of Xe/NF3 were desired to maximize the formation of XeF2 relative to XeF4 [0078] Figure 8 shows the XeF2 FTIR peak height (right Y-axis) and the etch selectivity of Si/SiOZ(left Y-axis) as a function of Xe/(Xe+Ar). The etch selectivity of Si/Si02 was clearly correlated with the in situ formation of XeF2.
[0079] The use of plasma excitation to produce XeF2 can also be used to manufacture XeF2 for use as an etchant in processes not directly associated with where it was made.
The data shows that there are conditions that clearly benefit the production of XeF2 and minimize the production of XeF4. It is very desirable to minimize the production of XeF4 because of its explosivity if it subsequently reacts to form Xe03. As XeF2 is formed in the reaction zone after the plasma generator it can be removed from the zone by the use of cryogenic trapping to condense out the material onto a cold surface. The solid XeF2 can then be extracted from the process chamber and reloaded into delivery cylinders for use in etching processes. Because of the excess xenon is introduced to reduce XeF4 formation, it is very beneficial to utilize xenon recovery or recycling of the xenon back into the process to assure productive use of all the xenon required for the manufacture of XeF2.

Example 5 Effect of Remote Plasma and Temperature On Etch Rate Of TiN and Si02 [0080] In this example the procedure of Example 2 was followed except both the remote plasma generator and the pedestal heater were turned on to allow for determination of the etch rate of both TiN and Si02 using remote plasma at various substrate temperatures.
[0081] In a first set of experiments the etch rate of TiN and Si02 was measured using a mixture of NF3 and Xe as the process gas. The flowrate of Xe was fixed at 320 sccm.
The temperature was varied from 100 C to 150 C. The results of these experiments are shown as the square points in Figures 9 and 10 for TiN and Si02, respectively.
[0082] In a second set of experiments the etch rate of TiN and Si02 was measured using a mixture of NF3 and argon (Ar) as the process gas. The flowrate of Ar was fixed at 320 sccm. The temperature was varied from 100 C to 150 C. The results of these experiments are shown as the diamond points in Figures 4 and 5 for TiN and Si02, respectively.
[0083] As Figure 9 shows, the addition of Xe to the process gas enhanced the TiN
etch rate at temperatures generally above 130 C. Figure 10 shows that the addition of Xe to NF3 inhibited the Si02 etch rate for all temperatures studied vis-a-vis the addition of Ar to NF3. The effect of the addition of Xe to the process gas on the etch selectivity can be seen by comparing the results in Figures 9 and 10.

Figure 11 shows, the etch selectivity for TiN relative to Si02 and the graph shows that the TiN selectivity begins to increase at temperatures above about 110 C, and rapidly above 120 C, with the addition of Xe to the NF3 process gas relative to Ar.
[0084] Summarizing, Example 1 shows that XeF2 is a selective etchant for TiN
films in relation to silicon dioxide and silicon nitride substrates when such etching is performed at elevated temperatures.
[0085] Examples 2 and 3 shows that the addition of Xe to an NF3 process gas in a remote plasma generator (or a reactor or a chamber) can increase the etch selectivity of Si or Mo relative to Si02 as compared to the etch selectivity when only NF3 is used as the process gas.
[0086] Example 4 shows that when Xenon and a fluorine containing gas such as were introduced to a plasma generator(or a reactor or a chamber), in situ formation of XeF2 was observed. There is an economic advantage (i.e., lower cost of ownership) of combining xenon with a fluorine containing gas such as NF3 rather than directly employing XeF2 for a cleaning process. A further benefit from using the disclosed processes of forming XeF2 is that they provide in addition to XeF2 some free fluorine radicals which help facilitate the removal of residues that may not be reactive when in contact with just XeF2.
[0087] Example 5 shows that the addition of Xe to an NF3 process gas in a remote plasma can increase the etch selectivity of TiN relative to Si02 at high (elevated) temperatures as compared to the etch selectivity when only NF3 is used as the process gas. The increased selectivity of TiN relative to Si02 is important in quartz tube furnace applications and to parts and semiconductor tools coated with Si02 having TiN
deposits thereon. This methodology may facilitate the cleaning of deposition reactors in between deposition cycles by interfacing a remote downstream plasma unit onto the process reactor and admitting the process gases. There may be economic advantages (i.e., lower cost of ownership) of combining xenon with a fluorine containing gas such as NF3 rather than employing XeF2 for such a cleaning process.
[0088] The cleaning processes described in the examples could also be employed in an off-line process reactor whose sole purpose is to clean process reactor parts prior to their re-use. Here, a remote downstream plasma reactor would be interfaced onto an off-line process reactor into which parts (components from the deposition reactor) are placed. Xenon and a fluorine containing gas such as NF3 would then be introduced to the remote downstream unit prior to the admission of the process gases into the chamber containing the parts to be cleaned.
[0089] The increased selectivity of Si, Mo, or TiN relative to Si02 , and the disclosed processes of forming XeF2 are important in many applications: such as, cleaning parts and semiconductor tools coated with Si02 having Si, Mo, or TiN deposits unwanted thereon; the etching of sacrificial layers in a MEMS; and the residue cleaning in the ion source region of an ion implantation system used in the fabrication of a microelectronic device.
[0090] The applications can be extended to clean other unwanted materials such as:
tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic, and mixtures; from Si3N4, Al, AI203, Au, Ga, Ni, Pt, Cu, Cr, TiNi alloy, SiC, photoresist, phosphosilicate glass, boron phosphosilicate glass, polyimides, gold, copper, platinum, chromium, aluminum oxide, silicon carbide and the combinations thereof.

Claims (25)

1. A process for selective etching of a first material to a second material, comprising:
providing a structure containing the first material and the second material in a chamber;
providing an etchant gas comprised of xenon(Xe), an inert gas and a fluorine containing chemical to the chamber;
contacting the structure with the etchant gas and selectively converting the first material to a volatile species; and removing the volatile species from the chamber;
wherein the first material is selected from the group consisting of silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic, and mixtures thereof; and the second material is selected from the group consisting of silicon dioxide, silicon nitride, nickel, aluminum, TiNi alloy, photoresist, phosphosilicate glass, boron phosphosilicate glass, polyimides, gold, copper, platinum, chromium, aluminum oxide, silicon carbide and mixtures thereof.
2. The process of Claim 1 wherein the fluorine containing chemical is selected from the group consisting of F2, NF3, C2F6, CF4, C3F8, SF6, a plasma containing F
atoms generated from an upstream plasma generator, and mixtures thereof.
3. The process of Claim 1 wherein the fluorine containing chemical is a plasma containing F atoms generated from an upstream plasma generator.
4. The process of Claim 1 wherein the inert gas is selected from the group consisting of Xe, Ar, He and mixtures thereof.
5. The process of Claim 1 wherein the chamber contains a remote plasma generator.
6. The process of Claim 1 wherein the temperature in the chamber is from ambient temperature to 500 °C.
7. The process of Claim 1 wherein the pressure in the chamber is from 0.1 to Torr.
8. The process of Claim 1 wherein the mole ratio of Xe to fluorine containing chemical is from 1:10 to 10:1.
9. The process of Claim 1 wherein the structure is a semiconductor device or a semiconductor processing chamber.
10. The process of Claim 1 wherein the structure is a micro electro mechanical device.
11. The process of Claim 1 wherein the structure is an ion implanter tool in an ion implantation system.
12. A process of forming xenon difluoride in a chamber, comprising:
providing Xe gas to the chamber;
providing a fluorine containing chemical selected from the group consisting of NF3, C2F6, CF4, C3F8, SF6, a plasma containing F atoms generated from an upstream plasma generator, and mixtures thereof to the chamber; and forming the xenon difluoride by reacting xenon with the fluorine containing chemical in the chamber.
13. The process of Claim 12 wherein the fluorine containing chemical is a plasma containing F atoms generated from an upstream plasma generator.
14. The process of Claim 12 wherein the mole ratio of Xe to fluorine containing chemical is from 1:10 to 10:1.
15. The process of Claim 12 wherein the chamber contains a plasma generator.
16. The process of Claim 15 wherein the plasma generator is a remote plasma generator.
17. The process of Claim 12 wherein the temperature in the chamber is from ambient temperature to 500 °C.
18. The process of Claim 12 wherein the pressure in the chamber is from 0.1 to Torr.
19. A process for selective etching of silicon, molybdenum, or silicon with molybdenum, to silicon dioxide, silicon nitride, or silicon dioxide with silicon nitride, comprising:
providing a structure containing silicon, molybdenum, or silicon with molybdenum and silicon dioxide, silicon nitride, or silicon dioxide with silicon nitride in a chamber;
providing an etchant gas comprised of xenon(Xe), an inert gas and a fluorine containing chemical to the chamber;
contacting the structure with the etchant gas and selectively converting said silicon, molybdenum, or silicon with molybdenum to a volatile species; and removing the volatile species from the chamber.
20. The process of Claim 19 wherein the fluorine containing chemical is selected from the group consisting of F2, NF3, C2F6, CF4, C3F8, SF6, a plasma containing F
atoms generated from an upstream plasma generator and mixtures thereof.
21. The process of Claim 19 wherein the fluorine containing chemical is a plasma containing F atoms generated from an upstream plasma generator.
22. The process of Claim 19 wherein the inert gas is selected from the group consisting of Xe, Ar, He and mixtures thereof.
23. The process of Claim 19 wherein the chamber contains a remote plasma generator.
24. The process of Claim 19 wherein the structure is a semiconductor device or a semiconductor processing chamber.
25. The process of Claim 19 wherein the structure is an ion implanter tool in an ion implantation system.
CA2690697A 2009-01-27 2010-01-21 Selective etching and formation of xenon difluoride Abandoned CA2690697A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/360,588 US8278222B2 (en) 2005-11-22 2009-01-27 Selective etching and formation of xenon difluoride
US12/360,588 2009-01-27

Publications (1)

Publication Number Publication Date
CA2690697A1 true CA2690697A1 (en) 2010-07-27

Family

ID=42371448

Family Applications (1)

Application Number Title Priority Date Filing Date
CA2690697A Abandoned CA2690697A1 (en) 2009-01-27 2010-01-21 Selective etching and formation of xenon difluoride

Country Status (5)

Country Link
JP (1) JP2010177666A (en)
KR (1) KR20100087678A (en)
CN (2) CN101847570B (en)
CA (1) CA2690697A1 (en)
TW (1) TWI475611B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5627990B2 (en) * 2010-10-25 2014-11-19 Hoya株式会社 Method for producing imprint mold
JP6408396B2 (en) * 2015-02-17 2018-10-17 三井化学株式会社 Pellicle film manufacturing method, pellicle manufacturing method, and photomask manufacturing method
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
CN105537207B (en) * 2015-12-11 2018-09-25 上海交通大学 A kind of cleaning method of high temperature quartz ampoule
CN109463005B (en) * 2016-06-03 2023-12-15 恩特格里斯公司 Vapor phase etching of hafnium dioxide and zirconium dioxide
JP6957252B2 (en) * 2017-07-20 2021-11-02 岩谷産業株式会社 Cutting method
JP7066263B2 (en) * 2018-01-23 2022-05-13 株式会社ディスコ Machining method, etching equipment, and laser processing equipment
CN110718459A (en) * 2018-07-13 2020-01-21 北京北方华创微电子装备有限公司 Non-plasma etching method and etching equipment

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
WO1999049506A1 (en) * 1998-03-20 1999-09-30 Surface Technology Systems Limited Method and apparatus for manufacturing a micromechanical device
US6736987B1 (en) * 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6818566B2 (en) * 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US20070117396A1 (en) * 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
TWI473149B (en) * 2006-04-26 2015-02-11 Advanced Tech Materials Cleaning of semiconductor processing systems

Also Published As

Publication number Publication date
TWI475611B (en) 2015-03-01
CN101847570B (en) 2012-11-07
JP2010177666A (en) 2010-08-12
CN102592994A (en) 2012-07-18
KR20100087678A (en) 2010-08-05
TW201029065A (en) 2010-08-01
CN101847570A (en) 2010-09-29

Similar Documents

Publication Publication Date Title
US8278222B2 (en) Selective etching and formation of xenon difluoride
US20070117396A1 (en) Selective etching of titanium nitride with xenon difluoride
CA2690697A1 (en) Selective etching and formation of xenon difluoride
KR100644176B1 (en) Method for cleaning deposition chambers for high dielectric constant materials
US20050241671A1 (en) Method for removing a substance from a substrate using electron attachment
EP0647163A1 (en) A plasma cleaning method for removing residues in a plasma treatment chamber
EP0801606A1 (en) Cleaning method
JP2006041523A (en) Method of increasing fluorine utilization factor
US20050258137A1 (en) Remote chamber methods for removing surface deposits
JP2006324663A (en) Method of cleaning contaminated tool component
WO2005090638A2 (en) Remote chamber methods for removing surface deposits
JP2008091882A (en) Detection of endpoint of cleaning process
KR20190133012A (en) Dry etching method or dry cleaning method
JP4320389B2 (en) CVD chamber cleaning method and cleaning gas used therefor
US20060144819A1 (en) Remote chamber methods for removing surface deposits
Lee et al. Observations of Silicon Surfaces Exposed to Inductively Coupled CHF3 and C4F8/H2 Plasmas Using Fourier Transform Infrared Ellipsometry
US6559060B2 (en) Process for the structuring of a substrate
CN107810289B (en) Method for etching and chamber cleaning and gas for the same

Legal Events

Date Code Title Description
EEER Examination request
FZDE Discontinued

Effective date: 20130125

FZDE Discontinued

Effective date: 20130125