JPH0670989B2 - 臭化水素によるシリコンの反応性イオンエッチング - Google Patents

臭化水素によるシリコンの反応性イオンエッチング

Info

Publication number
JPH0670989B2
JPH0670989B2 JP1175756A JP17575689A JPH0670989B2 JP H0670989 B2 JPH0670989 B2 JP H0670989B2 JP 1175756 A JP1175756 A JP 1175756A JP 17575689 A JP17575689 A JP 17575689A JP H0670989 B2 JPH0670989 B2 JP H0670989B2
Authority
JP
Japan
Prior art keywords
silicon
layer
etching
plasma
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP1175756A
Other languages
English (en)
Other versions
JPH0286126A (ja
Inventor
レン‐ジュアン・ツォウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Publication of JPH0286126A publication Critical patent/JPH0286126A/ja
Publication of JPH0670989B2 publication Critical patent/JPH0670989B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】 この発明は、シリコンの高選択性プラズマエッチングを
得る技術を指向し、特に臭化水素がこのような高選択性
エッチングを達成することを明らかにするものである。
多結晶シリコンのエッチングにプラズマを使用すること
は、半導体加工で長い歴史を有する。線幅制御が小さい
寸法を得る点で限界に達したので、CFCl3のような塩素
化フレオンが異方性エッチングのための平行平板形エッ
チング装置中で使用されている。フレオンプラズマ中で
生成した重合体による側壁の不動態化がシリコンと自然
に反応する原子フッ素による横方向エッチングを防止す
る。しかし、フレオン放電中発生する炭素含有化学種
も、酸化物をエッチングする。オーバーエッチング(ov
eretching)技術の間薄いゲート酸化物を保持するため
に多結晶シリコンのエッチング速度対ゲート酸化物のエ
ッチング速度が20:1の比のエッチング速度選択性を有す
る塩素プラズマが多結晶シリコンのエッチングに通常使
用されている。しかし、このようなエッチングは、フォ
トレジストを浸食し、エッチングされた線を狭くする。
デバイスの寸法が小さくなり、いっそう薄いゲート酸化
物を利用する、IC半導体部品のようなデバイスを製造す
るための高選択性エッチング方法を求めて、種々の努力
が従来技術においてなされた。5000オングストロームの
厚さを有する第1多結晶シリコンレベルと多結晶シリコ
ン構造の第2レベルが交差する二重多結晶シリコン構造
の場合には、急な段にあるストリンガー(stringer)を
除去するのに100%オーバーエッチングが必要である。
したがって、IM DRAM並びに他の進歩したVLSIデバイス
で250オングストロームのゲート酸化物の厚さを保持す
るためには、エッチング方法の選択性は30:1より良くな
ければならない。
有機化学における一般法則として、ハロゲンの反応性は
F,Cl,Brの順で減少する。更に、臭化原子のシリコン表
面での極めて低い反応確率(<10-5)は、プラズマエッ
チングにおいてイオン衝撃が重要な役を演じ、異方性輪
郭を得るのに側壁不動態化は必要でないことを示す。
BCl3のようなCl含有化合物を有するプラズマにBr2を添
加してシリコンの異方性エッチングを行う研究を行っ
た。塩素含有化合物へのこのような臭化の添加は、米国
特許第4450042号明細書に見られるが、He−BCl3−Br2
最高のエッチング速度が達成されることが判明した。Br
2は、塩素又はフッ素含有化合物と混合されなければ、
多結晶シリコンをエッチングすることができないことが
強調されている。
プラズマエッチングの従来の試みにおいて、米国特許第
4490209号及び同第4502915号明細書では、塩化水素、臭
化水素及びヘリウムのエッチング剤組み合わせを使用す
る選択的異方性エッチングの2段階プラズマ法が考えら
れた。この組み合わせは、シリコンにおいてSi−Cl−Br
化合物として異方性エッチングを達成する。
別の研究がジャーナル・オブ・バキューム・サイエンス
・テクノロジー(gournal of vacuum Science Technolo
gy)B第6巻、第1号、1月/2月、1988年257〜262頁に
記載されており、これでは、単結晶及び多結晶シリコン
が純粋のBr2プラズマ中で磁気的増大反応性イオンエッ
チング装置を用いてエッチングされた。これに関して、
プラズマを増すために磁界を与えることにより放電を増
強するように2個のフェライトディスク磁石を用いた。
フォトレジストを用いた場合、エッチングされた表面が
きれいでないことが分かった。この文献は、磁気的増大
エッチングを達成するために純粋の臭素プラズマの使用
を強調する。
この発明は、多結晶シリコンをエッチングするための臭
化水素(HBr)プラズマを用いる多結晶の反応性イオン
エッチング(RIE)を顕著に改良することを目的とす
る。臭化水素すなわちHBrプラズマは、多結晶シリコン
及びシリコンのイオンエッチングを著しく改良し、特に
薄いゲート酸化物層がシリコン上に存在する場合、及び
フォトレジストマスクが多結晶シリコンの選択的エッチ
ングに使用される場合、そうであることを確かめた。
HBrとBr2は、両方とも、例えばCl2より著しく良い多結
晶シリコン又はシリコンのエッチング剤であることを確
かめた。他方、Br2は、HBrより大きい大きさでフォトレ
ジストを攻撃することが分かった。すなわち、シリコン
対フォトレジストのエッチング選択性は、Br2に対して
3:1〜4:1の程度であるが、この発明のエッチング剤HBr
に対しては、多結晶シリコン対フォトレジストのエッチ
ング速度比は、60:1である。
この発明のHBrのこの高い選択性は、フォトレジスト
と、IC半導体デバイスの製造に使用される薄いゲート酸
化物のような酸化物層の両方に当てはまる。例えばHBr
に対して多結晶シリコン対酸化物のエッチングの選択性
は、100:1の比である。Cl2を用いる多結晶シリコンのエ
ッチングの従来の試みは、酸化物に比べて30:1のエッチ
ングの選択性を達成するだけである。したがって、この
発明は、酸化物と、フォトレジストの両方に比べて著し
く改良されたエッチングを提供する。
更に、従来技術に比べて、臭化水素は、Br2より取り扱
いが容易である。
したがって、この発明は、シリコンを持つ材料の層の上
にエッチングする領域のみを露出させるようにパターン
化したマスクを設け、酸化物エッチングプラズマにより
シリコンを持つ層からすべての表面酸化物を除去し、次
いでシリコンを持つ層を臭化水素プラズマにさらしてフ
ォトレジスト及び酸化ケイ素に比べてシリコンを持つ層
を選択的にエッチングする段階を用いてシリコンを持つ
材料の層を選択的にエッチングすることによる半導体の
著しく改良された製造方法を提供する。
シリコンを持つ材料は、例えば、シリコン、多結晶シリ
コン(ドープした及びドープしない両方)、タンタルケ
イ化物又はチタンケイ化物である。
また、臭化水素プラズマは、HBrガス又はHBrガスと、例
えばHe,Ar,又はN2のような不活性ガスとの混合ガスを含
むことができる。酸化物エッチングプラズマは、例えば
フレオン、四塩化ケイ素、三塩化ホウ素の一つである。
この酸化物エッチングプラズマは、最初シリコンを持つ
材料上に生ずる表面又は自然酸化物を除去する。これ
は、シリコンを持つ層のHBrプラズマによるエッチング
を著しく改良する。
この発明の他の特徴は、シリコン基板上に酸化物の薄い
被覆層、酸化物の上に多結晶シリコン層、多結晶シリコ
ン層の部分上にフォトレジストを有する複合構造を形成
し、次いで酸化物エッチングプラズマにより多結晶シリ
コン層からすべての表面酸化物を除去し、次いで複合構
造を臭化水素プラズマにさらしてフォトレジスト及び酸
化ケイ素に比べて多結晶シリコン層を選択的にエッチン
グする段階をそなえるエッチングにより半導体IC部品を
製造する改良方法である。
この技術は、極めて狭い多結晶シリコン線を有するICで
使用する半導体素子を製造する。更に、フォトレジスト
マスクによりシリコン中に溝をつくることができる。10
μm深さの溝の作成に成功する。
次に、この発明を添付図面を用いて例によって説明す
る。
第1図に、反応室1をそなえる反応性イオンエッチング
装置を示す。半導体ウェーハ2をこの室に入れ水冷電極
3上に載せ、電極は例えばRF電力源5より電力を受け
る。電極3とウェーハ2は、絶縁構造4上にある。ガス
を入口6を経て室に導入する。真空をポンプ7により維
持する。この構造の例としてターボ分子ポンプを備え
た、モデルPK−2480のようなプラズマ−サーム(Plasma
−Therm)反応性イオンエッチング装置をあげることが
できる。臭化水素ガスに、例えば20ミリトールの圧力下
20sccmの見かけのガス流れが与えられる。
半導体ウェーハは、第2a図に示すように500オングスト
ローム厚さのゲート酸化ケイ素層11上に5000オングスト
ローム厚さの多結晶シリコン層12を有する100mm直径の
ものである。これらの層は、シリコン基板10上に設けら
れる。また、同じく5000オングストローム厚さの層13が
二酸化ケイ素層11上にあらかじめ設けられているのが見
られる。この層13は、あらかじめエッチングした多結晶
シリコン層、すなわち層12から形成するものと同様なも
の、又は他の材料でよく、これに半導体デバイス用ドー
ピングがあってよい。多結晶シリコン層12の種々のパタ
ーンを形成するためにパターン14のようなフォトレジス
トパターンを多結晶シリコン層12上に設ける。フォトレ
ジストは、例えば、120℃に後焼成したパターン化シプ
レー(Shipley)AZ−1470でよい。これらのパターンの
フォトレジスト被覆面積は、例にすぎないが半導体領域
の10%,40%及び60%と変わりうる。このようなフォト
レジスト被覆は、種々の異なるICマスクを与えることが
できる。
この構造をエッチングする前に、ウェーハを最初フレオ
ンのような酸化物エッチングプラズマに約1分間さらし
て多結晶シリコンの表面上のすべての自然酸化物を除去
する。このような自然酸化物は、例えば周囲の雰囲気中
の酸素の存在によりシリコン又は多結晶シリコン表面上
にすぐ自然に生成されうる。このような酸化物のシリコ
ン又は多結晶シリコン層からの除去は、シリコン及び多
結晶シリコンのHBrエッチング能力を著しく増大させる
ことを確かめた。
第2b図は、HBrプラズマによるエッチング後の半導体ウ
ェーハを示す。このエッチングにおいて、フォトレジス
ト14の下を除いて多結晶シリコン層12を完全にエッチン
グし、多結晶シリコン12′を残す。フォトレジスト14
は、HBrプラズマにより最小限度に又は無視できる程度
にエッチングされる。また、SiO2のような酸化ケイ素ゲ
ート層11は、多結晶シリコン12の、上にある層の除去に
続いて領域11′でわずかにエッチングされた。
酸化ケイ素層11の厚さと層11′の厚さの間の差が示され
ているが、この差は、酸化物のエッチングが多結晶シリ
コンのエッチングより著しく小さいという事実から見て
全く小さく、最小である。この発明は、多結晶シリコン
のエッチング速度対酸化物のエッチング速度が100:1で
ある高いエッチングの選択性を有効に与える。この価
は、Cl2による従来のエッチングで得られるより著しく
大きい。
多結晶シリコンとフォトレジストの間の高いエッチング
選択性も、多結晶シリコンのエッチング速度対フォトレ
ジストのエッチング速度が60:1の選択性比でこの発明で
得られる。他方、Cl2によるフォトレジストの従来技術
エッチングでは、フォトレジストのエッチングが、例え
ばわずかに3:1の選択性で行われる。この発明に従うフ
ォトレジストと多結晶シリコンとのエッチング選択性と
従来技術のそれとの間の著しい差は、ICデバイスの構成
における極めて微細なエッチングを可能にする。
HBrによるエッチングは、多結晶シリコン層並びに単結
晶シリコン層において有効であることを確かめた。更
に、多結晶シリコンは、例えばリンなどでドープするこ
とができる。このようにHBrプラズマは、二酸化ケイ素
及びフォトレジストに対する極めて高い選択性をもって
多結晶シリコン又は単結晶シリコンを異方性をもってエ
ッチングする。フォトレジストの例としてシプレーAZ−
1470又はコダック(Kodak)−809フォトレジストをあげ
ることができる。このようにして、プラズマエッチング
方法は、異方性輪郭、良好なテォトレジストの保全、下
層に対する高い選択性及び重合体の回避のようなパター
ン転写に対する基本的要求をすべて満たす。
臭化水素プラズマは、He,Ar又はN2のような不活性ガス
と混合したHBrガスを含むことができる。
臭化水素プラズマは、次の理由ではるかに好適な多結晶
シリコンエッチング剤である:(a)Siと原子Brとの反
応確率と熱エネルギーが例えばClの場合の10分の1と低
いので、HBrにおいては横方向エッチング速度が極めて
低く、(b)100eVにおいてBrイオン衝撃による反応速
度の増速(>104)がClイオンによるそれ(<104)に比
べて大きいので、HBrプラズマ中でのプラズマ増速多結
晶シリコンエッチング速度は塩素化プラズマ中の速度に
適合し、(c)HBrプラズマ中での固有の酸化物エッチ
ング速度は塩素化プラズマ中の速度の1/2以下であり、
(d)HBrプラズマ中でのフォトレジストエッチング速
度は、塩素化プラズマ中の1/10である。
【図面の簡単な説明】
第1図は、この発明を実施する反応性イオンエッチング
装置の断面略図、 第2a図は、エッチング前の半導体部品の部分断面図、 第2b図は、エッチング後の第2a図の半導体部品の部分断
面図を示す。 1……反応室、2……半導体ウェーハ 3……電極、4……絶縁構造 5……RF電力源、6……入口 7……ポンプ、10……シリコン基板 11……ゲート酸化ケイ素層 12……多結晶シリコン層、12′……多結晶シリコン 13……層 14……フォトレジストパターン

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】シリコンを持つ材料の層を選択的にエッチ
    ングすることにより半導体を製造するに当り、(a)シ
    リコンを持つ材料の層の上にエッチングする領域のみを
    露出させるようにパターン化したマスクを設け、(b)
    酸化物エッチングプラズマにより前記のシリコンを持つ
    層からすべての表面酸化物を除去し、(c)次いで前記
    のシリコンを持つ層を臭化水素プラズマにさらしてフォ
    トレジスト及び酸化ケイ素に比べて前記のシリコンを持
    つ層を選択的にエッチングする段階をそなえるシリコン
    を持つ材料の層を選択的にエッチングすることによる半
    導体の製造方法。
  2. 【請求項2】前記のシリコンを持つ材料がシリコン、ド
    ープされた多結晶シリコン、ドープされない多結晶シリ
    コン、タンタルケイ化物及びチタンケイ化物よりなる群
    から選ばれた材料である請求項1記載の製造方法。
  3. 【請求項3】前記臭化水素プラズマが不活性ガスと混合
    したHBrガスである請求項1記載の製造方法。
  4. 【請求項4】前記不活性ガスがHe,Ar又はN2である請求
    項3記載の製造方法。
  5. 【請求項5】前記酸化物エッチングプラズマがフレオ
    ン、四塩化ケイ素又は三塩化ホウ素である請求項1記載
    の製造方法。
  6. 【請求項6】エッチングにより半導体ICデバイスを製造
    するに当り、 (a)(イ)シリコン基板を供給し、 (ロ)前記シリコン基板上に酸化物の薄層を形成し、 (ハ)前記酸化物上に多結晶シリコン層を形成し、 (ニ)少なくとも前記多結晶シリコン層の部分の上にフ
    ォトレジストを形成することにより複合構造を形成し、 (b)酸化物エッチングプラズマにより前記多結晶シリ
    コン層からすべての表面酸化物を除去し、 (c)次いで、複合構造を臭化水素プラズマにさらし
    て、前記フォトレジスト及び前記酸化ケイ素に比べて前
    記多結晶シリコン層を選択的にエッチングする段階をそ
    なえるエッチングによる半導体ICデバイスの製造方法。
  7. 【請求項7】前記臭化水素プラズマが不活性ガスと混合
    したHBrガスである請求項6記載の製造方法。
  8. 【請求項8】前記不活性ガスがHe,Ar又はN2である請求
    項7記載の製造方法。
  9. 【請求項9】前記酸化物エッチングプラズマがフレオ
    ン、四塩化ケイ素又は三塩化ホウ素である請求項6記載
    の製造方法。
  10. 【請求項10】前記多結晶シリコン層がドープされ又は
    ドープされない層である請求項6記載の製造方法。
  11. 【請求項11】前記段階(b)及び(c)の後に更に前
    記フォトレジストを前記複合構造から除去し、前記複合
    構造上に少なくとも第2の多結晶シリコン層を形成し、
    少なくとも前記第2の多結晶シリコン層の部分の上に少
    なくとも第2のフォトレジストを形成し、すべての表面
    酸化物を前記第2の多結晶シリコン層から前記酸化物エ
    ッチングプラズマにより除去し、次いで前記第2多結晶
    シリコン層を前記臭化水素プラズマにさらして前記第2
    多結晶シリコン層を前記第2フォトレジスト及び前記酸
    化ケイ素に比べて選択的にエッチングする段階をそなえ
    る請求項6記載の製造方法。
  12. 【請求項12】前記臭化水素プラズマが不活性ガスと混
    合したHBrガスである請求項11記載の製造方法。
  13. 【請求項13】前記不活性ガスがHe,Ar又はN2である請
    求項12記載の製造方法。
  14. 【請求項14】前記酸化物エッチングプラズマがフレオ
    ン、四塩化ケイ素又は三塩化ホウ素である請求項11記載
    の製造方法。
  15. 【請求項15】前記多結晶シリコン層がドープされ又は
    ドープされない層である請求項11記載の製造方法。
JP1175756A 1988-07-11 1989-07-10 臭化水素によるシリコンの反応性イオンエッチング Expired - Lifetime JPH0670989B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US217536 1988-07-11
US07/217,536 US5007982A (en) 1988-07-11 1988-07-11 Reactive ion etching of silicon with hydrogen bromide

Publications (2)

Publication Number Publication Date
JPH0286126A JPH0286126A (ja) 1990-03-27
JPH0670989B2 true JPH0670989B2 (ja) 1994-09-07

Family

ID=22811475

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1175756A Expired - Lifetime JPH0670989B2 (ja) 1988-07-11 1989-07-10 臭化水素によるシリコンの反応性イオンエッチング

Country Status (5)

Country Link
US (1) US5007982A (ja)
EP (1) EP0350997B1 (ja)
JP (1) JPH0670989B2 (ja)
KR (1) KR0185372B1 (ja)
DE (1) DE68928826T2 (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0272143B1 (en) * 1986-12-19 1999-03-17 Applied Materials, Inc. Bromine etch process for silicon
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
DE69126149T2 (de) * 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
JP2577488B2 (ja) * 1990-05-18 1997-01-29 株式会社東芝 半導体装置の製造方法
JPH0496223A (ja) * 1990-08-03 1992-03-27 Fujitsu Ltd 半導体装置の製造方法
JP3127454B2 (ja) * 1990-08-08 2001-01-22 ソニー株式会社 シリコン系被エッチング材のエッチング方法
JPH0779102B2 (ja) * 1990-08-23 1995-08-23 富士通株式会社 半導体装置の製造方法
JP3004699B2 (ja) * 1990-09-07 2000-01-31 東京エレクトロン株式会社 プラズマ処理方法
US5242536A (en) * 1990-12-20 1993-09-07 Lsi Logic Corporation Anisotropic polysilicon etching process
US5167762A (en) * 1991-01-02 1992-12-01 Micron Technology, Inc. Anisotropic etch method
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
JP2920848B2 (ja) * 1991-03-19 1999-07-19 東京エレクトロン株式会社 シリコン層のエッチング方法
US5560804A (en) * 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
JP3088178B2 (ja) * 1991-04-22 2000-09-18 日本電気株式会社 ポリシリコン膜のエッチング方法
US5314573A (en) * 1991-05-20 1994-05-24 Tokyo Electron Limited Dry etching polysilicon using a bromine-containing gas
JP3179872B2 (ja) * 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
JP2903884B2 (ja) * 1992-07-10 1999-06-14 ヤマハ株式会社 半導体装置の製法
US5286337A (en) * 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
JP3318801B2 (ja) * 1993-12-29 2002-08-26 ソニー株式会社 ドライエッチング方法
US5670018A (en) * 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5705433A (en) * 1995-08-24 1998-01-06 Applied Materials, Inc. Etching silicon-containing materials by use of silicon-containing compounds
US5550085A (en) * 1995-09-07 1996-08-27 Winbond Electronics Corp. Method for making a buried contact
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6451706B1 (en) * 1996-06-03 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Attenuation of reflecting lights by surface treatment
US5736418A (en) * 1996-06-07 1998-04-07 Lsi Logic Corporation Method for fabricating a field effect transistor using microtrenches to control hot electron effects
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US5798303A (en) * 1996-09-05 1998-08-25 Micron Technology, Inc. Etching method for use in fabrication of semiconductor devices
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
US6340603B1 (en) * 2000-01-27 2002-01-22 Advanced Micro Devices, Inc. Plasma emission detection during lateral processing of photoresist mask
US6358859B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
DE10226604B4 (de) * 2002-06-14 2006-06-01 Infineon Technologies Ag Verfahren zum Strukturieren einer Schicht
DE10226603A1 (de) * 2002-06-14 2004-01-08 Infineon Technologies Ag Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US8034153B2 (en) * 2005-12-22 2011-10-11 Momentive Performances Materials, Inc. Wear resistant low friction coating composition, coated components, and method for coating thereof
KR100780832B1 (ko) * 2006-08-16 2007-11-30 인하대학교 산학협력단 산화아연 물질에 대한 건식 식각 방법
US20100062224A1 (en) * 2006-10-31 2010-03-11 Interuniversitair Microelektronica Centrum Method for manufacturing a micromachined device
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
CN109659222B (zh) 2017-10-10 2020-10-27 联华电子股份有限公司 半导体装置的形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5814507B2 (ja) * 1975-07-09 1983-03-19 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション シリコンを選択的にイオン食刻する方法
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4450042A (en) * 1982-07-06 1984-05-22 Texas Instruments Incorporated Plasma etch chemistry for anisotropic etching of silicon
JPS58100684A (ja) * 1982-11-26 1983-06-15 Nippon Telegr & Teleph Corp <Ntt> ドライ・エツチング方法
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4502915B1 (en) * 1984-01-23 1998-11-03 Texas Instruments Inc Two-step plasma process for selective anisotropic etching of polycrystalline silicon without leaving residue
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4702795A (en) * 1985-05-03 1987-10-27 Texas Instruments Incorporated Trench etch process
JPS62202523A (ja) * 1986-02-28 1987-09-07 Nec Corp 半導体装置の製造方法
EP0272143B1 (en) * 1986-12-19 1999-03-17 Applied Materials, Inc. Bromine etch process for silicon
US4778563A (en) * 1987-03-26 1988-10-18 Applied Materials, Inc. Materials and methods for etching tungsten polycides using silicide as a mask

Also Published As

Publication number Publication date
KR900002415A (ko) 1990-02-28
US5007982A (en) 1991-04-16
EP0350997B1 (en) 1998-10-07
DE68928826T2 (de) 1999-05-12
KR0185372B1 (ko) 1999-04-15
EP0350997A2 (en) 1990-01-17
EP0350997A3 (en) 1990-04-11
DE68928826D1 (de) 1998-11-12
JPH0286126A (ja) 1990-03-27

Similar Documents

Publication Publication Date Title
JPH0670989B2 (ja) 臭化水素によるシリコンの反応性イオンエッチング
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
US5269879A (en) Method of etching vias without sputtering of underlying electrically conductive layer
JP4579611B2 (ja) ドライエッチング方法
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
JP2553513B2 (ja) 有機マスクを状態調節するための方法
JPH08172077A (ja) ビアのプラズマエッチング改良方法
JPH0758079A (ja) キセノンを用いたプラズマエッチング
US5651856A (en) Selective etch process
JP2903884B2 (ja) 半導体装置の製法
US5387312A (en) High selective nitride etch
US5522520A (en) Method for forming an interconnection in a semiconductor device
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
JP3381076B2 (ja) ドライエッチング方法
JP2891952B2 (ja) 半導体装置の製造方法
US4937643A (en) Devices having tantalum silicide structures
US5338395A (en) Method for enhancing etch uniformity useful in etching submicron nitride features
JPH10189537A (ja) ドライエッチング方法
JP2003151954A (ja) 半導体装置の製造方法
JP3082396B2 (ja) 半導体装置の製造方法
JPH04350939A (ja) 銅配線の形成方法
JP3079656B2 (ja) ドライエッチング方法
JPH06163479A (ja) ドライエッチング方法
JPH05102094A (ja) 銅膜のエツチング方法
JPS58132933A (ja) 選択ドライエツチング方法

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080907

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080907

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090907

Year of fee payment: 15

EXPY Cancellation because of completion of term