JP4852196B2 - 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法 - Google Patents

深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法 Download PDF

Info

Publication number
JP4852196B2
JP4852196B2 JP2000612994A JP2000612994A JP4852196B2 JP 4852196 B2 JP4852196 B2 JP 4852196B2 JP 2000612994 A JP2000612994 A JP 2000612994A JP 2000612994 A JP2000612994 A JP 2000612994A JP 4852196 B2 JP4852196 B2 JP 4852196B2
Authority
JP
Japan
Prior art keywords
etching
gas
plasma
reactor
silicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000612994A
Other languages
English (en)
Other versions
JP2002542623A (ja
JP2002542623A5 (ja
Inventor
マクレイノルズ・ダーレル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2002542623A publication Critical patent/JP2002542623A/ja
Publication of JP2002542623A5 publication Critical patent/JP2002542623A5/ja
Application granted granted Critical
Publication of JP4852196B2 publication Critical patent/JP4852196B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の背景】
本発明は半導体集積回路(IC)の製造に関する。特に、本発明はアスペクト比が高い深開口部を形成すべくプラズマ処理室内でシリコン層をエッチングするための改良された方法に関する。
【0002】
半導体ICの製造において、コンポーネントトランジスタ等のデバイスは、通常はシリコンで作成される半導体ウェーハ又は基板上に形成することができる。深開口部は、アスペクト比が35:1よりも高い可能性があり、例えば、蓄電のために、独立したコンデンサを形成する目的でシリコンにエッチングされ、或いはMEMデバイス応用装置にエッチングされる。約3μmないし約10μmのエッチング深度を有する開口部は深開口部と呼ばれ、約10μmより大きなエッチング深度を有する開口部は超深開口部と呼ばれる。こうした数値範囲は本発明を説明する上での指針として提示されるものであり、本発明に任意の制限を定めることを意図するものではない。例えば、超深開口部をエッチングする方法は、これよりも浅い開口部をエッチングする場合でも同等に効果的であると考えられる。
【0003】
この説明を容易にするために、図1では、従来技術のエッチングガス化学剤を利用して深開口部をエッチングする、従来技術の方法に関与するステップを示している。この従来技術の方法は102で開始され、この時、基板はプラズマ処理室に供給され、104において、例えばCF4とすることが可能なフッ素化学剤を使用して初期ブレークスルーエッチングが開始される。この初期エッチング段階の後、メインエッチングステップの準備が行われ、これは106において、SF6/O2/Heエッチングガス化学剤を供給することで開始され、108において、このガス化学剤を使用してプラズマを発生させる。その後、110において、例えば、約5.5μmの深度を有するトレンチ等のシリコン層の深開口部をエッチングするためにプラズマを使用してメインエッチングが開始される。深トレンチのエッチングが達成された後、112に示すように、この処理は完了する。
【0004】
図2は、上にマスキング層を有するシリコン層に設けられ約5.5μmのエッチング深度を有する深開口部の例を示す断面図である。この深開口部は、図1で提示した従来技術のエッチング方法を使用してエッチングされたものである。上にマスキング層204を配置されたシリコン層202は、深トレンチ206を形成するためにエッチングされている。マスキング層204は従来のフォトレジスト材料の層とすることが可能であり、フォトレジスト材料は、例えば、紫外線に晒すことにより、エッチング用のパターン形成が可能なものとすることができる。約1.5μm/分のエッチング速度が達成される。図1で説明したエッチング方法を使用してエッチングされた深トレンチ206は、
例えば、側壁の弓状特徴部208及びノッチ状特徴部210などの多数の構造的欠陥を有する。これらは、ハードマスクのアンダーカットによって生じたものである。
【0005】
図2に直接例示されてはいないが、深トレンチのエッチングにおいて発生する可能性のあるその他の問題としては、非垂直エッチング断面、低いエッチング速度、不適切なエッチング深度、RIEラグ、低いTEOS/Si選択性、CD(critical dimension)バイアス、及びシリコンの不均一性が含まれる。こうした問題のいくつかは、大きなエッチング深度及び高いアスペクト比を有する開口部をエッチングすることを試みるまでは現れないず、あるいは問題を引き起こすほどに深刻なものにならないかもしれない。当業者は、現在の技術において一般に使用されているものよりも深く狭い開口部の使用を求める業界の急速な進歩と共に、前記の問題点が発生することを理解するべきである。
【0006】
上述したことから、先に説明した多数の問題点の一部又は全部を回避すると同時に、シリコン層において深く狭い開口部をエッチングするような改良された手法が望まれる。
【0007】
【発明の概要】
前記およびその他の目的を達成するために、そして、本発明の目的にしたがって、プラズマエッチングリアクタ内でシリコン層に深開口部をエッチングする方法が開示される。この方法は、シリコン層を含む半導体基板をプラズマエッチングリアクタに供給し、メインエッチングのために、酸素反応ガスを含むガス化学剤及びヘリウムガスをプラズマエッチングリアクタに流入させるステップを含む。この方法は更に、エッチングガス化学剤を使用してプラズマを発生させ、次に、プラズマを発生させた後のプラズマエッチングリアクタに、例えばSF6等を含むフッ素含有添加ガスを供給することを含む。この方法では、引き続き、このプラズマを使用して、少なくとも部分的にシリコン層に対して、開口部をエッチングする。好適な実施形態において、シリコン層の上に配置される可能性がある自然酸化膜層を貫通してエッチングするために、メインエッチングガス化学剤の流入の前に塩素含有化学剤が供給される。
【0008】
本発明の別の実施形態においては、プラズマエッチングリアクタ内でシリコン層に超深開口部をエッチングする方法が開示される。この方法は、シリコン層を含む半導体基板をプラズマエッチングリアクタに供給し、メインエッチングのために、酸素反応ガス、ヘリウムガス及び不活性衝撃強化ガスを含むガス化学剤をプラズマエッチングリアクタに流入させるステップを含む。この方法は更に、エッチングガス化学剤を使用してプラズマを発生させ、次に、プラズマを発生させた後のプラズマエッチングリアクタに、例えばSF6を含むことが可能なフッ素含有添加ガスを供給することを含む。この方法では、引き続き、このプラズマを使用して、少なくとも部分的にシリコン層に、開口部をエッチングする。好適な実施形態においては、シリコン層の上に配置される可能性がある自然酸化膜層を貫通してエッチングするために、不活性衝撃強化ガスとしてアルゴンが選択され、メインエッチングガス化学剤の流入の前に塩素含有化学剤が供給される。
【0009】
本発明のその他の態様及び利点は、以下の詳細な説明によって、そして本発明の原理を例示する添付図面を参照することで、明らかとなろう。
【0010】
【好適な実施形態の詳細な説明】
以下、添付図面に例示されたいくつかの好適な実施形態を参照して本発明を詳細に説明する。本発明の一態様による本発明のエッチング処理は、正確に制御された側壁角度を有する、深く、アスペクト比が高い開口部を作成する複雑な応用である。本発明の処理を使用して達成されるエッチング深度は、約30μm以上に達することが可能であり、アスペクト比は約10:1よりも大きく、約35:1の高さになる場合もある。側壁角度は一般に、約87度よりも大きい。
【0011】
本発明を応用することによって得られる望ましい結果は、ほぼ垂直な断面、高いエッチング速度/深度、最低限のRIEラグ及びCDバイアス、高いTEOS/Si選択性及びシリコン均一性等の、あらゆる望ましい特性を有するシリコン層の深開口部を達成することである。以下の説明においては、本発明の完全な理解を提供するために多数の特定の詳細について述べる。しかしながら、当業者にとって、こうした特定の詳細の一部又は全部がなくとも、本発明を実施できることは明らかである。別の事例においては、本発明を不必要に曖昧にしないために、広く知られた処理ステップについては詳細に説明していない。
【0012】
本発明の一態様において、プラズマエッチングリアクタ内でシリコン層に深開口部をエッチングする方法が開示される。この方法は、シリコン層を含む半導体基板をプラズマエッチングリアクタに供給し、メインエッチングのために、酸素反応ガス及びヘリウムガスを含むガス化学剤をプラズマエッチングリアクタに流入させるステップを含む。この方法は更に、エッチングガス化学剤を使用してプラズマを発生させ、次に、プラズマを発生させた後のプラズマエッチングリアクタに、例えばSF6等を含むフッ素含有添加ガスを供給することを含む。つづいて、この方法では、このプラズマを使用して、少なくとも部分的にシリコン層に対して、開口部をエッチングする。好適な実施形態において、シリコン層の上に存在する可能性がある自然酸化膜層を貫通してエッチングするために、メインエッチングガス化学剤の流入の前に塩素含有化学剤が供給される。
【0013】
本発明の別の実施形態においては、プラズマエッチングリアクタ内でシリコン層に超深開口部をエッチングする方法が開示される。この方法は、シリコン層を含む半導体基板をプラズマエッチングリアクタに供給し、メインエッチングのために、酸素反応ガス及び不活性衝撃強化ガスを含むガス化学剤をプラズマエッチングリアクタに流入させるステップを含む。この方法は更に、エッチングガス化学剤を使用してプラズマを発生させ、次に、プラズマを発生させた後のプラズマエッチングリアクタに、例えばSF6等を含むフッ素含有添加ガスを供給することを含む。つづいて、この方法では、このプラズマを使用して、少なくとも部分的にシリコン層を通じて、開口部をエッチングする。好適な実施形態においては、シリコン層の上に存在する可能性がある自然酸化膜層を貫通してエッチングするために、不活性衝撃強化ガスとしてアルゴンが選択され、メインエッチングガス化学剤の流入の前に塩素含有化学剤が供給される。
【0014】
好適な実施形態において、本発明は誘導結合プラズマリアクタにおいて利用される。誘導結合プラズマリアクタとしては、例えば、カリフォルニア州フレモントのラムリサーチコーポレーションから入手可能な9400PTX(商標)プラズマリアクタ等のTCP(商標)ブランドのプラズマリアクタがある。図3では、本発明を実施するのに好適なプラズマ処理リアクタを表す誘導結合プラズマリアクタの簡略図を示している。図3では、ウェーハリアクタ302はプラズマ処理室304を含む。処理室304の最上面には、石英窓306が配置され、これは高周波エネルギが処理室に入ることを可能にする透明な媒体の役割を果たす。プラズマ処理室304の上に位置するコイル308は、この高周波エネルギを放出し、その高周波エネルギは、発電器310によって供給される。発電器310は、約300Wないし約2000Wの範囲の電力、好ましくは約400Wないし約1200Wの範囲の電力、更に好ましくは一実施形態において約1000Wとすることが可能な電力を発生させる。
【0015】
処理室304内では、エッチングガス化学剤が、ガス送出口312を通じて、石英窓306とウェーハ316との間の高周波誘導プラズマ領域314に放出される。このエッチングガス化学剤は、処理室自体の壁に組み込まれた他のポートから放出することもできる。ウェーハ316は処理室304に搬入され、発電器320によって作動する底部電極として機能する静電チャック318上に配置される。発電器320は、約20Wないし約100Wの範囲、好ましくは約20Wないし約30Wの範囲、更に好ましくは一実施形態において約25Wの電力を発生させる。ヘリウム冷却ガスを、静電チャック318とウェーハ316との間に、加圧して(約4ないし14Torr、好ましくは約6ないし10Torr、更に好ましくは一実施形態において、例えば約8Torr)導入する態様とすることができる。このヘリウム冷却ガスは、均一で反復可能なエッチング結果を確保するために、処理中のウェーハ温度を正確に制御する熱伝達媒体の役割を果たす。静電チャック318の温度は、約0℃ないし約70℃、好ましくは約15℃ないし約60℃、更に好ましくは一実施形態において約20℃に維持することが可能であり、処理室の温度は、約20℃ないし70℃、好ましくは約40℃ないし約70℃、更に好ましくは一実施形態において約50℃に維持することができる。プラズマエッチング中、プラズマ処理室304内の圧力は低く維持され、例えば、約40mTorrないし約110mTorr、好ましくは約50mTorrないし約100mTorr、更に好ましくは一実施形態において約60mTorrとなる。
【0016】
図4は、本発明の一態様による、深開口部をエッチングするための第一の発明方法に含まれるステップを示している。本発明方法は、402で開始され、この時、基板はプラズマ処理室内の下部電極上に配置される。下部電極は、静電チャックであってもよい。下部電極温度は約30℃に維持される。これは従来技術の方法における約50℃の下部電極温度よりも大幅に低い。下部電極温度を低くすることで、CDバイアスが最小化されると考えられ、その結果、エッチングされた開口部の深さ方向全体についての断面エリアの均一性が高まる。この例では、約0.03未満のCDバイアスが達成される。
【0017】
404において、塩素含有化学剤が初期ブレークスルーエッチングのために供給される。この塩素含有化学剤は、こうした初期ブレークスルーエッチングの目的を達成する上で、従来技術で使用されるフッ素含有化学剤よりも効果的であることが分かっている。塩素含有化学剤は、通常シリコンが空気中の酸素と反応する時にシリコン層上に形成される自然酸化膜層を貫通して、エッチングする。塩素含有化学剤を使用することで、更に、図2に示すようなエッチング結果における弓状特徴部208を排除することができると考えられる。406において、酸素及びヘリウムを含むガス化学剤が供給され、その後、408において、このガス化学剤を使用してプラズマが発生される。SF6等のフッ素含有ガスは、このエッチング化学剤において有用な要素であるが、この反応ガス要素は、プラズマの発生中は、垂直フラッシュ効果を回避するために、意図的に除外される。垂直フラッシュ効果は、ハードマスクとシリコンの境界におけるアンダカットを発生させ、その結果、開口部の側壁に沿ってノッチ状構造を発生させる恐れがある。SF6が存在しない状態でのプラズマ発生動作は、一定の期間、例えば7秒間にわたって持続させることができる。プラズマ発生後、410において、SF6がプラズマ処理室に追加され、その後、メインエッチングが開始される。この処理は、414において、深開口部のエッチングが完了した時に終了する。SF6の代わりにすることが可能なその他のガスには、C43、CF4、NF3、及びCHF3が含まれる。
【0018】
図5aは、本発明の一実施形態にしたがって本発明のエッチング方法を使用してエッチングしたシリコン層504の深開口部502の断面図を示している。こうした結果は、この新しい方法が、約3.5μm/分の増加したエッチング速度を達成することが可能であり、従来技術の方法を使用した際の問題を発生させることなく、約10μmないし約15μmの深さのエッチング深度を有する開口部をエッチングするのに効果的であることを示している。例えば、深開口部502は、約505μmのエッチング深度を有し、直線垂直断面を有しており、問題のある特性を有していない。その問題のある特性というのは、図2に示したような従来技術の方法を使用してエッチングした深開口部に生じる、ハードマスクのアンダカットの結果である弓状特徴部及びノッチ状特徴部等の特性である。
【0019】
図5bは、図5aとほぼ同じ発明エッチング方法及びパラメータを使用して、シリコン層で超深開口部をエッチングすることを試みて得られた結果の断面図である。ただし、微少寸法制御を更に改善することを試みて、下部電極温度を更に20℃に下げられている。この図では、約13μmのエッチング深度を有するシリコン層514の深開口部512を示されている。達成された平均エッチング速度は、約1.6μm/分であった。この事例で計算された平均エッチング速度が実際のエッチング速度を完全に反映しているかどうかは疑わしい。なぜならば、このエッチングは目標とされたエッチング深度である30μmに到達できなかったためであり、実際には、指定エッチング時間である500秒が終了する前に、約15μmのエッチング深度でエッチングの停止が発生した。深開口部512はほぼ垂直な断面を有しているが、最上面近くでは、ハードマスクのアンダカットの再発により、開口部の側壁が後退している。これにより、後退が発生したレベルからエッチング開口部の最上端部までに傾斜断面516が生じている。そのため、アスペクト比の高い深開口部をエッチングすることができる別の改善された方法が必要とされる。必要とされるエッチング方法は、15μmよりも大きな深度を有し、ほぼ垂直な断面、高いエッチング速度/深度、低い微少寸法バイアス、高いTEOS/Si選択性、最低限のRIEラグ、及び高いシリコン均一性等の望ましい特性を有する開口部をエッチングすることが可能なエッチング方法である。
【0020】
図6は、本発明の別の態様による第二のエッチングガス化学剤を使用して深開口部をエッチングする第二の発明方法に含まれるステップを示しており、これは602で開始される。604において、初期ブレークスルーエッチングのために塩素化学剤が供給される。606において、酸素反応物質、ヘリウム、及び不活性衝撃強化ガス(アルゴン等)を有するガス化学剤が供給される。このガス化学剤の流入後、608において、このガス化学剤を使用してプラズマが発生される。前の発明方法と同じく、フッ素含有反応ガス要素は、このエッチング化学剤において有用な要素であるが、この反応ガス要素はプラズマの発生中は、垂直フラッシュ効果を回避するために、意図的に除外される。垂直フラッシュ効果は、ハードマスクとシリコンの境界でのアンダカットを発生させ、その結果、開口部の側壁に沿ってノッチ状構造を発生させる恐れがある。SF6等のフッ素含有化学剤が存在しない状態でのプラズマ発生動作は、一定の時間、例えば7秒間にわたって持続させることができる。プラズマ発生後、610において、SF6がプラズマ処理室に追加され、その後、612でメインエッチングが開始される。この処理は、614において、深開口部のエッチングが完了した時に終了する。
【0021】
図7は、本発明の一実施形態による第二の発明方法を使用してエッチングしたシリコン層の開口部の断面図を示している。商業的に許容できるエッチング結果を達成するために、一実施形態において、最大電力を増加させ、処理室の圧力を低下させてエッチング処理を強化し、これによりエッチング停止の可能性を最小限にする。アルゴンの追加も、イオン衝突の強化に貢献する。この図では、約30:1よりも大きな高アスペクト比を有する、約30μmの超深開口部702が、シリコン層704にエッチングされている。この事例では、3.6μm/分のエッチング速度が達成された。更に、この図で確認できるように、側壁断面は、優れたCD制御によりほぼ垂直である。これは、同等の深度及びアスペクト比の開口部をエッチングする場合に、非常に達成が困難なことである。
【0022】
超深開口部をエッチングする本発明方法の重要な特徴は、アルゴンやキセノン等の不活性衝撃強化ガスを含めることである。こうした不活性衝撃強化ガスの追加は、ハードマスクのアンダカットを排除する役割を果たす可能性があり、これにより、側壁断面の制御に役立つ可能性があると考えられる。アルゴン等の重い不活性ガスを、全体のガス流量に追加することは、RIEラグの問題の改善にも貢献すると考えられる。RIEラグは、エッチング中の異なる開口部間でのエッチング速度の差異である。通常、RIEラグは、異なる特徴サイズを有する開口部で発生するが、場合によっては、同じサイズの特徴部において発生する。後者の状況は、エッチングを継続するのに十分な反応イオンが開口部に存在していない時に発生すると考えられる。不活性衝撃強化ガスを全体のガス流量に追加すると、エッチング処理におけるイオン衝突が強化されて、この問題が緩和され、その結果、重合体形成及び断面への影響を発生させずに、エッチング停止条件を減少させることができる。
【0023】
アルゴン等の重いガスは酸化膜エッチングにおいて使用されるが、シリコンエッチングでは使用されない場合が多い。イオン衝突を強化するためのアルゴン等の重不活性ガスの追加は自明のものではない。なぜなら、高いエッチング深度及びアスペクト比の開口部のエッチングにおいて、イオン衝突を強化することが自明のアプローチではないことが明白なためである。特に、シリコン層の上に配置されるマスキング層の厚さは特徴サイズの低下により減少し、また、エッチング完了前にマスキング層を摩滅させる強い衝突は、処理にとって望ましい効果をもたらさないことを考慮すれば、イオン衝突の強化は自明のアプローチではない。
【0024】
強化されたイオン衝突の影響にもかかわらず、こうした改善されたエッチング方法の別の利点は、エッチングの目的でシリコン層をマスクするために使用されるTEOS等のマスキング材料に対する驚くべき選択性である。特徴部のサイズの減少と共に、シリコン層上に配置可能なマスキング層の厚さが減少することを考慮すると、TEOSに対するシリコンの選択性は、エッチング処理において非常に重要な要素となる。シリコン層での深く狭い開口部のエッチングが完了する前にTEOS層が完全に摩滅しないことは絶対に必要な事柄であり、実際、適切な幾何学形状の開口部を達成するにはマスキング材料に対する高い選択性が求められる。衝突剤(Ar等)を追加しても、改善されたエッチングガス化学剤は、約60:1よりも大きなシリコン:TEOS選択性比率を提供することが分かっており、これは従来技術のエッチングガス化学剤(Ar等の不活性衝撃強化ガスを利用しないもの)によって提供される約25:1以下の選択性比率よりも大幅に高い。
【0025】
高いエッチング速度、優れたエッチング断面制御、及びマスキング層に対する高い選択性を有することに加え、この改善されたエッチング方法に内在する別の利点として、次のものがある。すなわち、本発明方法それぞれには重合化ステップのない一度のみのメインエッチングステップを含んでいるため、事前に定められた深度まで行うエッチングを含む業界で一般に使用される深開口部のエッチング方法に比べ、より直接的で汚染の少ない処理となる点である。業界で一般に使用される方法では、エッチングの後、不動態化のための重合化工程が行われ、その後、望ましいエッチング深度に達するまで、こうした交互のシーケンスが繰り返される。対照的に、この改善されたエッチング方法では、有利なことに、一度のメインエッチングによって、望ましいエッチング深度を有する開口部のエッチングが可能となる。
【0026】
【実施例】
下の表では、典型的なシリコンウェーハをエッチングするのに最適なパラメータを示している。四種類の別個の処理A、B、C、及びDに関して、好適なおよその範囲と、更に好適なおよその範囲と、代表値とを提示している。処理A、B、C、及びDによって得られた結果は、それぞれ図2、図5a、図5b、及び図7に表示されている。処理Aは従来技術の処理である。
【0027】
ここの表示されているおよその値の処理パラメータは、一般に、前記のTCP(商標)ブランド9400PTX(商標)プラズマリアクタにおける6インチ(15.24cm)ウェーハのエッチングに適したものである。異なる寸法又はパターン密度を有する基板をエッチングするために、或いは特定のプラズマリアクタの要件に合わせるために、このパラメータを適切に定数倍できること及び又は修正できることは容易に明らかであり、当業者の技能の範囲内にある。
【0028】
表1では、処理室圧力(mTorr)と、最大電力及びバイアス電力(W)と、処理室温度及び下部電極温度と(摂氏温度)、静電チャックでのヘリウム圧力(Torr)と等のプラズマリアクタにおいて使用するのに最適なパラメータの概算範囲、及び図2、図5a、図5b、及び図7に表示されるエッチング結果を得るために使用される代表パラメータを提示している。表2では、本発明方法で使用されるエッチングガス化学剤の例における基本構成要素のいくつか、例えば、Cl2、SF6、O2、He、及びArの流量(sccm)の好適なおよその範囲及び更に好適なおよその範囲と、図に表示されるエッチング結果を得るために使用される特定のガス化学剤に関する数値の例とを提示している。表3では、本発明方法で使用されるエッチング化学剤の例における基本構成要素のいくつか、例えばCl2、O2、He、及びArの流量の好適なおよその範囲、更に好適なおよその範囲、及び代表パラメータを、SF6流量に対するパーセンテージの形式で提示している。
【0029】
ガス構成要素のいくつかは特定のガス化学剤に存在する場合と存在しない場合とがあると理解される。例えば、Cl2は、従来技術の処理において初期ブレークスルーエッチング用に使用されるCF4とほぼ置き換わる形で使用される。このため、処理Aにおいて使用されるブレークスルーエッチングガス化学剤の主要な構成要素ではない。更に、表2に列挙されたガス化学剤構成要素はすべてを包括するものではなく、特定の目的を達成するために、或いは使用される特定のタイプのエッチング設備の具体的な必要性を満たすために、ガス化学剤に他のタイプのガスを含めることもできる。しかしながら、超深開口部をエッチングするメインエッチングにおいて使用するのに最も好適なガス化学剤には、表2に提示されるもの、つまりSF6、O2、He、及びAr以外には、任意の有意な量の添加ガスは含まれない。
【0030】
【表1】
Figure 0004852196
【0031】
【表2】
Figure 0004852196
【0032】
【表3】
Figure 0004852196
【0033】
以上、本発明をいくつかの好適な実施形態に基づき説明してきたが、本発明の範囲に含まれる変更、置換、及び等価物が存在する。更に、本発明の方法及び装置を実施する数多くの代替方法が存在することにも留意されたい。例えば、この方法は、純粋なシリコンウェーハと同様にポリシリコン層において深開口部をエッチングするために利用することができる。更に、本発明方法を使用してエッチングされる開口部のエッチング深度は、好適な実施形態において提示された例によって全く制限されない。本発明の方法を利用し、エッチングパラメータのいくつかに適切な調整を施すことで、大きなエッチング深度を有し垂直断面等の望ましいその他の特性を有する開口部が得られると考えられる。したがって、前記特許請求の範囲は、本発明の趣旨及び範囲に入るこうしたすべての変更、変形、及び等価物を含むものとして解釈されるべきである。
【図面の簡単な説明】
【図1】 従来技術のエッチングガス化学剤を利用して深開口部をエッチングする従来技術の方法に含まれるステップを示す図。
【図2】 従来技術のエッチング方法を使用してエッチングされたシリコン層の深開口部の断面図。
【図3】 本発明の一態様による、改善されたガス化学剤と共に適切に利用可能なプラズマリアクタの簡略図。
【図4】 本発明の一態様による、深開口部をエッチングする第一の発明方法に含まれるステップを示す図。
【図5a】 本発明の一実施形態にしたがって本発明のエッチング方法を使用してエッチングされたシリコン層の深開口部の断面図。
【図5b】 図5aと同じ本発明のエッチング方法を使用してシリコン層に超深開口部をエッチングすることを試みて得られた結果の断面図。
【図6】 本発明の別の態様にしたがって第二のエッチングガス化学剤を使用して超深開口部をエッチングする第二の発明方法に含まれるステップを示す図。
【図7】 本発明の一実施形態による第二の発明方法を使用してエッチングされたシリコン層の超深開口部を示す断面図。
【符号の説明】
202、504、514、704 … シリコン層
204 … マスキング層
206 … 深トレンチ
208 … 弓状特徴部
210 … ノッチ状特徴部
302 … ウェーハリアクタ
304 … プラズマ処理室
306 … 石英窓
308 … コイル
310、320 … 発電器
312 … ガス送出口
314 … 高周波誘導プラズマ領域
316 … ウェーハ
318 … 静電チャック
502、512 … 深開口部
516 … 傾斜断面
702 … 超深開口部

Claims (26)

  1. 少なくとも10μmのエッチング深度を有する超深トレンチを形成するために誘導結合プラズマエッチングリアクタ内でマスキング層を通してシリコン層をエッチングする方法であって、
    前記シリコン層を含む半導体基板を前記誘導結合プラズマエッチングリアクタ内に導入するステップと、
    実質的にヘリウムガス、O2ガス、及びアルゴンガスで構成されるエッチングガス化学剤を前記誘導結合プラズマエッチングリアクタに流入させるステップと、
    前記エッチングガス化学剤を使用してプラズマを発生させるステップと、
    前記プラズマの発生の後に、前記誘導結合プラズマエッチングリアクタにSF6ガスを供給するステップであって、前記SF6ガスに対する前記ヘリウムガスの流量比が350%ないし550%であり、前記SF6ガスに対するO2の流量比が60%ないし90%であり、前記SF6ガスに対する前記アルゴンガスの流量比が350%ないし550%であるステップと、
    少なくとも部分的に前記シリコン層に前記超深トレンチをエッチングするステップと、を備える方法。
  2. 請求項1記載方法であって、
    自然酸化膜層が前記シリコン層上に形成され、
    塩素含有ガス化学剤が前記誘導結合プラズマエッチングリアクタ内に流入され、
    前記塩素含有ガス化学剤は、前記シリコン層の前記エッチングのための前記エッチングガス化学剤の流入に先立って、前記自然酸化膜層を貫通してエッチングするために使用される、エッチング方法。
  3. 請求項1または2記載方法であって、
    前記SF6ガスに対する前記アルゴンガスの流量比が350%ないし550%である、エッチング方法。
  4. 請求項1ないし3のいずれかに記載方法であって、
    前記超深開口部の前記エッチングが30μmないし60μmのエッチング深度まで継続される、エッチング方法。
  5. 請求項1ないし4のいずれかに記載方法であって、
    前記プラズマの前記発生が5秒ないし10秒の期間にわたって持続する、エッチング方法。
  6. 超深開口部を形成するために誘導結合プラズマエッチングリアクタ内でマスキング層を通してシリコン層をエッチングする方法であって、
    前記シリコン層を含む半導体基板を前記誘導結合プラズマエッチングリアクタ内に導入するステップと、
    ヘリウムガス、酸素ガス、及び不活性衝撃強化ガスを含み、フッ素含有ガスを含まないエッチングガス化学剤を前記誘導結合プラズマエッチングリアクタ内に流入させるステップであって、前記不活性衝撃強化ガスは、アルゴンとキセノンからなるグループから選択される、ステップと、
    前記エッチングガス化学剤を使用してプラズマを発生させるステップと、
    前記プラズマの発生の後に、前記誘導結合プラズマエッチングリアクタ内にフッ素含有添加ガスを供給するステップと、
    少なくとも部分的に前記シリコン層に前記超深開口部をエッチングするステップと、を備える方法。
  7. 超深開口部を形成するためにプラズマエッチングリアクタ内でマスキング層を通してシリコン層をエッチングする方法であって、
    前記シリコン層を含む半導体基板を前記プラズマエッチングリアクタ内に導入するステップと、
    ヘリウムガス、酸素ガス、及び不活性衝撃強化ガスを含み、フッ素含有ガスを含まないエッチングガス化学剤を前記プラズマエッチングリアクタ内に流入させるステップであって、前記不活性衝撃強化ガスは、アルゴンとキセノンからなるグループから選択される、ステップと、
    前記エッチングガス化学剤を使用してプラズマを発生させるステップと、
    前記プラズマの発生の後に、前記プラズマエッチングリアクタ内にフッ素含有添加ガスを供給するステップであって、前記プラズマの発生中の所定の期間、前記フッ素含有添加ガスが、意図的にプラズマから除外される、ステップと、
    少なくとも部分的に前記シリコン層に前記超深開口部をエッチングするステップと、を備える方法。
  8. 請求項6または7記載方法であって、
    自然酸化膜層が前記シリコン層上に形成され、
    塩素含有ガス化学剤が前記プラズマエッチングリアクタ内に流入され、
    前記塩素含有ガス化学剤は、前記シリコン層の前記エッチングのための前記エッチングガス化学剤の流入に先立って、前記自然酸化膜層を貫通してエッチングするために使用される、エッチング方法。
  9. 請求項6ないしのいずれかに記載方法であって、
    前記半導体基板がシリコンウェーハである、エッチング方法。
  10. 請求項6ないし9のいずれかに記載方法であって、
    前記超深開口部がトレンチである、エッチング方法。
  11. 請求項6ないし10のいずれかに記載方法であって、
    前記フッ素含有添加ガスがSF6である、エッチング方法。
  12. 請求項11記載方法であって、
    前記SF6ガスに対する前記不活性衝撃強化ガスの流量比が350%ないし550%である、エッチング方法。
  13. 請求項11または12記載方法であって、
    前記SF6ガスに対する前記ヘリウムガスの流量比が350%ないし550%である、エッチング方法。
  14. 請求項6ないし10のいずれかに記載方法であって、
    前記フッ素含有添加ガスがC48、CF4、NF3、及びCHF3のいずれかである、エッチング方法。
  15. 請求項6ないし14のいずれかに記載方法であって、
    前記超深開口部の前記エッチングが30μmないし60μmのエッチング深度まで継続される、エッチング方法。
  16. 請求項6ないし15のいずれかに記載の方法であって、
    前記超深開口部のエッチングは、30:1より大きいアスペクト比を有する、エッチング方法。
  17. 請求項6ないし16のいずれかに記載方法であって、
    前記プラズマエッチングリアクタの下部電極温度が15℃ないし60℃である、エッチング方法。
  18. 請求項6ないし17のいずれかに記載方法であって、
    前記プラズマエッチングリアクタの処理室圧力が40mTorrないし100mTorrである、エッチング方法。
  19. 請求項6ないし17のいずれかに記載方法であって、
    前記プラズマエッチングリアクタの処理室圧力が50mTorrないし60mTorrである、エッチング方法。
  20. 請求項6ないし19のいずれかに記載方法であって、
    前記プラズマの前記発生が5秒ないし10秒の期間にわたって持続する、エッチング方法。
  21. 請求項6ないし20のいずれかに記載方法であって、
    前記プラズマエッチングリアクタの最大電力が300Wないし2000Wである、エッチング方法。
  22. 請求項6ないし20のいずれかに記載方法であって、
    前記プラズマエッチングリアクタの最大電力が400Wないし1000Wである、エッチング方法。
  23. 請求項6ないし16のいずれかに記載方法であって、
    前記プラズマエッチングリアクタの下部電極温度が15℃ないし30℃である、エッチング方法。
  24. 請求項6ないし22のいずれかに記載方法であって、
    前記プラズマの前記発生は、構造の損傷を避けるために、5秒ないし10秒の期間、持続される、エッチング方法。
  25. 請求項6ないし14のいずれかに記載方法であって、
    前記深開口部の前記エッチングが10μmないし15μmのエッチング深度まで継続する、エッチング方法。
  26. 請求項6ないし14のいずれかに記載の方法であって、
    前記超深開口部のエッチングは、10μmより深いエッチ深さに達するか、10:1より大きいアスペクト比を有するまで継続される、エッチング方法。
JP2000612994A 1999-04-20 2000-04-06 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法 Expired - Fee Related JP4852196B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/295,634 1999-04-20
US09/295,634 US6191043B1 (en) 1999-04-20 1999-04-20 Mechanism for etching a silicon layer in a plasma processing chamber to form deep openings
PCT/US2000/009447 WO2000063960A1 (en) 1999-04-20 2000-04-06 Process for etching a silicon layer in a plasma processing chamber to form deep openings

Publications (3)

Publication Number Publication Date
JP2002542623A JP2002542623A (ja) 2002-12-10
JP2002542623A5 JP2002542623A5 (ja) 2007-06-21
JP4852196B2 true JP4852196B2 (ja) 2012-01-11

Family

ID=23138562

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000612994A Expired - Fee Related JP4852196B2 (ja) 1999-04-20 2000-04-06 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法

Country Status (5)

Country Link
US (2) US6191043B1 (ja)
JP (1) JP4852196B2 (ja)
KR (1) KR20020010605A (ja)
TW (1) TW457584B (ja)
WO (1) WO2000063960A1 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6544860B1 (en) * 2000-03-06 2003-04-08 Koninklijke Philips Electronics N.V. Shallow trench isolation method for forming rounded bottom trench corners
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6451673B1 (en) * 2001-02-15 2002-09-17 Advanced Micro Devices, Inc. Carrier gas modification for preservation of mask layer during plasma etching
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
WO2003037497A2 (en) * 2001-10-31 2003-05-08 Tokyo Electron Limited Method of etching high aspect ratio features
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
DE10331526A1 (de) * 2003-07-11 2005-02-03 Infineon Technologies Ag Verfahren zum anisotropen Ätzen einer Ausnehmung in ein Siliziumsubstrat und Verwendung einer Plasmaätzanlage
EP1691402A4 (en) * 2003-12-01 2008-07-23 Matsushita Electric Ind Co Ltd PLASMA ETCHING PROCESS
US20050280674A1 (en) 2004-06-17 2005-12-22 Mcreynolds Darrell L Process for modifying the surface profile of an ink supply channel in a printhead
US7309641B2 (en) * 2004-11-24 2007-12-18 United Microelectronics Corp. Method for rounding bottom corners of trench and shallow trench isolation process
US7202178B2 (en) * 2004-12-01 2007-04-10 Lexmark International, Inc. Micro-fluid ejection head containing reentrant fluid feed slots
JP5041696B2 (ja) * 2005-11-15 2012-10-03 パナソニック株式会社 ドライエッチング方法
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
WO2007088302A1 (fr) * 2006-02-01 2007-08-09 Alcatel Lucent Procede de gravure anisotropique
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7927966B2 (en) 2006-12-12 2011-04-19 Nxp B.V. Method of manufacturing openings in a substrate, a via in substrate, and a semiconductor device comprising such a via
KR100838399B1 (ko) 2007-05-17 2008-06-13 주식회사 하이닉스반도체 반도체 소자의 트렌치 형성 방법
JP5135879B2 (ja) * 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US8263497B2 (en) * 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
JP5305973B2 (ja) * 2009-02-20 2013-10-02 ラピスセミコンダクタ株式会社 トレンチ形成方法
WO2011066668A1 (en) * 2009-12-02 2011-06-09 C Sun Mfg. Ltd. Method of etching features into substrate
US8802571B2 (en) 2011-07-28 2014-08-12 Lam Research Corporation Method of hard mask CD control by Ar sputtering
JP2013110139A (ja) * 2011-11-17 2013-06-06 Tokyo Electron Ltd 半導体装置の製造方法
US20140199833A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Methods for performing a via reveal etching process for forming through-silicon vias in a substrate
CN104347390B (zh) * 2013-07-31 2017-06-27 中微半导体设备(上海)有限公司 一种等离子体刻蚀基片的方法
JP6557588B2 (ja) * 2015-12-04 2019-08-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6328703B2 (ja) * 2016-08-15 2018-05-23 東京エレクトロン株式会社 半導体装置の製造方法
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
CN112285828A (zh) * 2020-09-30 2021-01-29 中国科学院微电子研究所 一种端面耦合器及其封装方法、应用
CN114678270A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 一种电感耦合等离子处理装置及其刻蚀方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189293A (ja) * 1996-10-28 1998-07-21 Anelva Corp プラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4702795A (en) * 1985-05-03 1987-10-27 Texas Instruments Incorporated Trench etch process
US4726879A (en) 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces
FR2616030A1 (fr) * 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
US4992134A (en) * 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5296095A (en) * 1990-10-30 1994-03-22 Matsushita Electric Industrial Co., Ltd. Method of dry etching
US5933748A (en) * 1996-01-22 1999-08-03 United Microelectronics Corp. Shallow trench isolation process
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6033991A (en) * 1997-09-29 2000-03-07 Cypress Semiconductor Corporation Isolation scheme based on recessed locos using a sloped Si etch and dry field oxidation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189293A (ja) * 1996-10-28 1998-07-21 Anelva Corp プラズマ処理装置

Also Published As

Publication number Publication date
TW457584B (en) 2001-10-01
KR20020010605A (ko) 2002-02-04
WO2000063960A1 (en) 2000-10-26
JP2002542623A (ja) 2002-12-10
US6191043B1 (en) 2001-02-20
US20010001743A1 (en) 2001-05-24

Similar Documents

Publication Publication Date Title
JP4852196B2 (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US7361607B2 (en) Method for multi-layer resist plasma etch
JP4674368B2 (ja) シリコンの異方性エッチングのための方法
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP3574680B2 (ja) キセノンを用いたプラズマエッチング
TW201901794A (zh) 高深寬比低溫蝕刻期間用於側壁鈍化之氣體添加劑
JP3409313B2 (ja) 酸化物及びフォトレジスト層に対して高度の選択性を有する異方性窒化物エッチング法
JP4499289B2 (ja) 誘電材料をプラズマ・エッチングする方法
JP2013030778A (ja) 二層レジストプラズマエッチングの方法
WO2009088660A1 (en) Method of etching a high aspect ratio contact
EP1667216A2 (en) Dielectric etch method with high density and low bombardment energy plasma providing high etch rates
WO1997045866A1 (en) Mechanism for uniform etching by minimizing effects of etch rate loading
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP2003518738A (ja) シリコンの金属マスクエッチング方法
JPH06151385A (ja) SiOx材料をプラズマエッチングするための方法および集積回路内の層間の金属接続部を生成するための方法
EP1290495A2 (en) A method and apparatus for etching metal layers on substrates
JP6959999B2 (ja) プラズマ処理方法
US6969685B1 (en) Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
TW202002073A (zh) 電漿蝕刻方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100531

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100607

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110531

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110607

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110901

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111011

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111024

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141028

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees