WO2000029640A1 - Method for residue-free anisotropic etching of aluminum and its alloys - Google Patents

Method for residue-free anisotropic etching of aluminum and its alloys Download PDF

Info

Publication number
WO2000029640A1
WO2000029640A1 PCT/US1999/026267 US9926267W WO0029640A1 WO 2000029640 A1 WO2000029640 A1 WO 2000029640A1 US 9926267 W US9926267 W US 9926267W WO 0029640 A1 WO0029640 A1 WO 0029640A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
etch
aluminum
etching
plasma
Prior art date
Application number
PCT/US1999/026267
Other languages
French (fr)
Other versions
WO2000029640A9 (en
Inventor
Timothy R. Webb
Savitha Nanjangud
Marlene Li
Jeffrey Stokes
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020017005956A priority Critical patent/KR20010080994A/en
Priority to JP2000582617A priority patent/JP2002530844A/en
Publication of WO2000029640A1 publication Critical patent/WO2000029640A1/en
Publication of WO2000029640A9 publication Critical patent/WO2000029640A9/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Definitions

  • the present invention pertains to a method for residue-free anisotropic etching of aluminum and aluminum alloys.
  • the substrate is placed in a process chamber comp ⁇ sing a plasma generator and plasma electrodes.
  • the process gas is ionized to form plasma ions that energetically impinge on the substrate by (i) applying RF current at a first power level to the plasma generator, and (ii) applying RF current at a second power level to the plasma electrodes.
  • the increased amount of dissociated Cl + ions relative to non-dissociated Cl 2 + ions etches the multicomponent alloy on the substrate at an etch rate of at least about 500 nm per minute, without forming etchant residue on the substrate.
  • nitrogen passivation provides an acceptable etch profile
  • the use of nitrogen gas can result in the production of undesirable residues, such as silicon nitride or copper nitride (when etching aluminum- copper alloys), which can be difficult to remove. If left in place, these residues can bridge between metal interconnect lines, causing electrical problems (e.g., shorts) in the device.
  • Hydrocarbons such as CH 4
  • the use of hydrocarbons as passivating agents slows the etch rate of the aluminum to an unacceptable level (i.e., below about 5,000 A per minute).
  • the power for the plasma generation source is under common control with the power for the substrate biasing means.
  • an increase in plasma source power automatically increases power to the substrate pedestal which biases the substrate
  • a plasma generating apparatus having a plasma source power control which is separate from the power control to the substrate biasing device, in order to provide increased selectivity toward aluminum over surrounding etch masking mate ⁇ als dunng the etch process while avoiding damage to substrate devices
  • use of the sepaiate power controls descnbed above enables the addition of a hydrocarbon-compnsing matenal into the etch process, while maintaining a satisfactory etch rate for aluminum
  • using a separate power control apparatus for the plasma generation source and for the device used to bias the substrate in combination with the addition of a hydrocarbon to either the plasma source gas or to the process chamber (or both) in which a substrate is etched, enables a simultaneous improvement of the selectivity of
  • the number of reactive species present on the feature surfaces can be greatly increased
  • this bias can be set to produce anisotropic etch conditions without causing heavy ion bombardment of all honzontal feature surfaces
  • the etchant species composition (rather than ion bombardment) controls selectivity toward aluminum over adjacent masking matenals, while sufficient substrate bias to produce anisotropic etch conditions is achieved
  • hydrocarbon to plasma species at the feature surface a thin layer of polymer is deposited on the sidewall and in the bottom of the feature.
  • the thin layer of polymer serves to protect the sidewall surfaces from being etched while the polymer layer at the bottom of the feature is removed (the thin layer of polymer that was deposited on the honzontal surfaces, i e., on the field surface and in the bottom of the feature, is removed during anisotropic etching.)
  • the method of the present invention compnses amsotropically etching aluminum or an aluminum alloy using a plasma generated from a plasma source gas which comprises a chlorine-comprising gas and a hydrocarbon-comprising gas. Etching takes place in a processing apparatus having separate power controls for the plasma generation source and substrate biasing means.
  • the chlorine-comprising gas is selected from the group consisting of Cl 2 , HC1, BC1 3 , CCI 4 . SiCl 4 , CHC1 3) CC1 2 F 2 , CHC1 2 F, and combinations thereof. More preferably, the chlorine-comprising gas does not comprise fluorine. Most preferably, the chlorine-comprising gas is Cl 2 .
  • the hydrocarbon-comprising gas preferably has the chemical formula C x H y , where x typically ranges from about 1 to about 5, when y ranges from about 1 to about 12. More preferably, x ranges from 1 to 3, and ranges from 1 to 6. Most preferably, the hydrocarbon-comprising gas is CH 4 .
  • the atomic ratio of chlorine : carbon in the plasma source gas preferably ranges from about 5 : 1 to about 200 : 1 ; more preferably, from about 10 . 1 to about 20 . 1.
  • the atomic ratio of hydrogen : carbon in the hydrocarbon preferably ranges from about 1 1 to about 4 : 1.
  • the plasma source gas may also comp ⁇ se an additive gas which aids in etch profile control
  • the additive gas is preferably selected from the group consisting of BC1 31 N 2 , CF 4 , C 2 F 6 , C 4 F 8 , CHF 3 , CH 2 F 2 , CHC1 3 , CHC1,F, CC1 2 F 2 , C 2 C1 2 F 4 , CBrF 3 , CBr 2 F 2 , O 2) and combinations thereof
  • the additive gas does not compnse oxygen
  • the additive gas is BC1 3
  • the plasma source gas typically includes a non-reactive, diluent gas selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof, with argon being preferred due to its lower cost
  • the electron density of the plasma is preferably at least 10" e /cm 3 , most preferably, about 10' 2 e /cm 3
  • the substrate bias is preferably at least 10" e
  • Figure 1 is a cross-sectional schematic of an Applied Mate ⁇ als' CENTURA® etch system, which is an example of an etch processing apparatus useful in the present invention
  • Figure 2a is a detailed schematic of an individual metal etch decoupled plasma source (DPS) chamber of the type used in the Applied Matenals' CENTURA® etch system shown in Figure 1
  • Figure 2b is a vertical cross-sectional schematic of an individual metal etch DPS chamber, as taken from U S Patent No 5,779,926
  • This schematic shows independent power controls 268 for the plasma source power and 270 for the bias power
  • Figure 3 is an illustration of a feature 306 etched in an aluminum layer 308 which has been deposited on a substrate 310 This illustration is provided to show how the etch profile of an aluminum line sidewall is measured, with reference to an included angle varying from the desired perpendicular wall (90°)
  • Figure 4 is a graph showing the effects of increasing the source gas flow rate 402, the Cl 2 CH 4 ratio 404
  • Figure 6 is a graph showing the effects of increasing the source gas flow rate 602, Cl 2 H 4 ratio 604, process chamber pressure 606, and the source power 608 on the etch profile microloading, ⁇ ° (; e , the difference between the average etch profile angle of a dense array of lines and the average etch profile angle of isolated lines on the same substrate)
  • Figure 7 is a graph showing the effects of increasing the source gas flow rate 702, the Cl 2 CH 4 ratio 704, the process chamber pressure 706, and the source power 708 on the amount of residue remaining after etching The amount of residue remaining after etching is shown in arbitrary units that were assigned after comparative evaluation of scanning electron micrographs (SEMs) taken at 20k magnification, where the number of deposits present on a given surfaces area were compared and rated on a scale from 1 to 10.
  • SEMs scanning electron micrographs
  • Figure 8 is a graph showing the effects of increasing the bias power, while maintaining a constant source power of 1800 W, on the total amount of photoresist remaining in the center and at the edge of a wafer after completion of etch.
  • Figure 9 is a graph showing the effects of varying the Cl 2 flow rate 902, BC1 3 flow rate 904, Ar flow rate 906, and CH 4 flow rate 908 on the aluminum alloy etch endpoint time, which is an indicator of the aluminum alloy etch rate.
  • Figure 10 is a graph showing the effects of varying the Cl 2 flow rate 1002, BC1 3 flow rate 1004, Ar flow rate 1006, and CH 4 flow rate 1008 on the amount of residue remaining after etching.
  • Figure 1 1 is a graph showing the effects of varying the Cl 2 flow rate 1102, BC1 3 flow rate 1104, Ar flow rate 1106, and CH 4 flow rate 1108 on the photoresist etch rate.
  • Such alloys include, but are not limited to, aluminum-copper alloys, and aluminum-copper-silicon alloys, for example. Typically, the aluminum content of the alloy is 90% or greater.
  • anisotropic etching refers to etching which does not proceed in all directions at the same rate. If etching proceeds exclusively in one direction (e.g., only vertically), the etching process is said to be completely anisotropic.
  • aspect ratio generally refers to the ratio of the height dimension to the width dimension of a particular feature. In situations where the feature has more than one width, the minimum width is used in calculating the aspect ratio.
  • bias power refers to the power applied to the substrate support platen to produce a negative voltage on the substrate surface.
  • the negative voltage is used to control ion bombardment energy and the directionality of ions toward a substrate.
  • chlorine as used herein is intended to encompass Cl 2 as well as other chlorine-comprising compounds which are capable of producing reactive etchant species.
  • decoupled plasma source refers to a plasma generation apparatus which has separate controls for power input to a plasma source generator and to a substrate bias device.
  • the plasma source power controller controls the supply of inductively coupled RF power which is used to generate the plasma and determines the plasma density and the bias power controller controls the supply of RF power which is used to generate a DC bias voltage on the semiconductor substrate surface.
  • the bias voltage affects the ion bombardment energy on the substrate surface.
  • This decoupled plasma source typically incorporates measures to separate (decouple) the influence of the source and bias on one another.
  • the ENDURA ® metal deposition systems and CENTURA® metal etch systems available from Applied Materials, Inc. of Santa Clara, California, which include decoupled plasma source power and bias power control, are referred to as "DPS" systems. Similar equipment available from other manufactures may be referred to by different nomenclature.
  • the term "etch profile” generally refers to, but is not limited to, the cross- sectional profile of the sidewall of an etched aluminum line. In many instances herein, the etch profile is described in terms of an angle between the sidewall and the underlying substrate. When the angle is 90°, the sidewall is perpendicular to the substrate. This is generally preferred.
  • FIG. 3 illustrates positive and negative angle line sidewall profiles.
  • etch profile microloading refers to the difference between the average etch profile angle of a dense array of lines and the average etch profile angle of isolated lines on the same substrate.
  • feature refers to, but is not limited to, interconnects, contacts, vias, trenches, and other structures which make up the topography of the substrate surface.
  • feature size typically refers to the smallest dimension of a feature
  • high density plasma refers to, but is not limited to, a plasma having an electron density of at least 10" e /cm 3
  • hydrocarbon refers to, but is not limited to, a hydrogen and carbon- compnsing compound having the general formula C x H y , where r preferably ranges from about 1 to about 5, and y preferably ranges from about 1 to about 12
  • ion bombardment refers to, but is not limited to, physical bombardment of a substrate surface by ions (and other excited species of atoms which are present with the ions) Ion bombardment is frequently used to remove atoms from a substrate surface, where physical momentum transfer is used to achieve the atom removal
  • open areas refers to the areas of the substrate into which openings have been formed (e g , the substrate has been patterned and etched to form contact vias, trenches, etc ) A substrate having large open areas is a substrate
  • FIG. 1 shows a cross-sectional schematic of an etch processing apparatus that can be used in the practice of the present invention, the Applied Mate ⁇ als' CENTURA® etch system (Applied Mate ⁇ als, Inc., Santa Clara, CA).
  • the CENTURA® etch system is a fully automated semiconductor fabrication system, employing a single-wafer, multi- chamber design which accommodates 200-mm wafers
  • the CENTURA® etch system includes decoupled plasma source (DPS) chambers 102, advanced st ⁇ p-and-passivation (ASP) chambers 104, wafer o ⁇ enter chamber 106, cooldown chamber 108; and independently operated loadlock chambers 110.
  • DPS decoupled plasma source
  • ASP advanced st ⁇ p-and-passivation
  • the System 2982 differs from the genenc CENTURA® etch system shown in Figure 1 in that the System 2982 includes only one ASP chamber
  • Figure 2a shows a detailed schematic of an individual metal etch DPS chamber 102 of the type used in the CENTURA® etch system
  • the metal etch DPS chamber 102 includes a ceramic dome 202, a standard monopolar electrostatic chuck (ESC) 204, and a 1 0- ⁇ nch focus nng 206
  • the dome 202 is maintained at a constant temperature to control the formation of particulates during processing. Gas is introduced into the chamber via four ceramic gas injection nozzles 208 for uniform gas distribution.
  • Chamber pressure is controlled by a closed-loop pressure control system 210 with a unique plunger-style throttle valve 212.
  • the DPS etch chamber 102 uses an inductive plasma source, frequency tuned at approximately 2 MHZ, to generate and sustain a high density plasma (i.e , having an electron density of of at least 10" eVcm 3 ).
  • the wafer is biased with a 13.56 MHZ RF power source.
  • the decoupled nature of the plasma source allows independent control of ion energy and ion density, which provides highly uniform plasma ( ⁇ 5% variation) with a wide process window over changes in source and bias power, pressure, and metal etch gas chemistry.
  • Figure 2b is a vertical cross-sectional schematic of an individual metal etch DPS chamber 102.
  • a substrate 225 is placed in the processing chamber 102 and held in place by means of an electrostatic chuck 273.
  • the electrostatic chuck 273 overlies a cathode plasma electrode 257, which is connected to an independently controlled plasma electrode (RF) power source 270.
  • the walls 263 of the chamber are electrically grounded to form an anode plasma electrode 258.
  • a plasma source gas is introduced into and distributed throughout the processing chamber 102 by means of a gas distributor 265 peripherally disposed above the substrate 225. Plasma ions are formed from the plasma source gas by applying an RF current to an inductive coil plasma generator 255, which is connected to an independently controlled plasma generator (RF) power source 268.
  • RF independently controlled plasma generator
  • the cathode electrode 257 is electrically biased with respect to the anode electrode 258 by applying an RF voltage to the cathode electrode 257 via power source 270, so that the plasma ions formed in the chamber 102 are attracted toward, energetically impinge upon, and etch the substrate 225.
  • Spent process gas and etchant byproducts are exhausted from the process chamber 102 through an exhaust system 274.
  • a throttle valve 276 is provided in the exhaust for controlling the pressure in the chamber 102.
  • the System 5084 is a fully automated semiconductor fabrication system, employing a single-wafer, multi-chamber design which is very similar to the System 2982 CENTURA® Etch System, but which accommodates 150-mm wafers.
  • the System 5084 supports three processing chambers (two prototype DPS ch.am.bers and one ASP chamber), which are attached to a central loadlock chamber.
  • the System 5084 apparatus is able to perform the same functions in a similar manner to the Applied Materials' System 2982 apparatus.
  • the method of the invention comprises anisotropic etching of aluminum or aluminum alloys using a plasma generated from a plasma source gas which includes reactive, chlorine-comprising species and a hydrocarbon-comprising gas, in combination with an independently controlled plasma generation source and substrate biasing means.
  • the etch processing chamber may utilize an externally or locally generated plasma source with or without an RF coupled internal coil to increase plasma ion content.
  • the chlonne-compnsing species is typically generated from a gas which is preferably, selected from the group consisting of Cl 2 , HC1, BC1 3 , CC1 4 , S ⁇ Cl 4 , CHC1 3 , CC1 2 F 2 , CHC1 2 F, and combinations thereof More preferably, the chlonne-compnsing species is generated from a gas which does not compnse fluonne Most preferably, the chlonne-compnsing species is generated from Cl 2
  • the hydrocarbon-compnsing gas used to provide sidewall passivation for the etched aluminum feature surfaces, is typically added to the other gases which make up the plasma source gas
  • the hydrocarbon-compnsing gas preferably has the chemical formula C x H y , where x typically ranges from about 1 to about 5, wheny ranges from about 1 to about 12 More preferably, x ranges from 1 to 3, andy ranges from 1 to 6 Most preferably, the hydrocarbon-compnsing gas is CH 4 As used
  • the substrate temperature is typically about 40 - 50°C higher than the pedestal temperature.
  • a pedestal temperature of about 50°C would typically result in a substrate temperature of about 90 - 100°C).
  • All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0.4 ⁇ m and an aspect ratio of about 2.5 : 1.
  • the TiN ARC was patterned using a commercially available i-line stepper.
  • the aluminum alloy and the titanium barrier layer were etched using the following process parameters: 100 seem Cl 2 , 40 seem BCl 3, and 5 or 10 seem of N or CH 4 ; 1200 W source power; 150 W bias power; 10 - 20 mT process chamber pressure; 7 T helium back pressure on the backside of the substrate wafer; 45 °C substrate temperature; and an 80°C process chamber wall and dome temperature.
  • each line i.e., etch depth
  • the endpoint time required to reach this etch depth was recorded.
  • the endpoint times for etching, using the different plasma source gases, process chamber pressures, and flow rates, are recorded in Table 2, below.
  • the aluminum alloy etch rate was significantly slower when the etch plasma contained methane than when the etch plasma contained nitrogen, the etch rate obtained using a methane-comprising source gas was still well above the 5,000 A per minute minimum acceptable etch rate at each of the different process chamber pressures and source gas flow rates.
  • EXAMPLE TWO Experiments were conducted to measure the aluminum alloy etch rate, etch profile, etch profile microloading, thickness of the photoresist masking layer remaining after etch, and the amount of residue remaining after etching of aluminum alloy lines and spaces, each as a function of plasma source gas composition (i.e., varying the ratio of Cl : CH 4 ), total gas flow rate, process chamber pressure, and source power.
  • the process work was performed in an Applied Materials' System 2982 etch processing apparatus, which is shown in Figures 1 and 2 and described in section II, above.
  • the aluminum alloy and the titanium barrier layer were etched using the following process parameters: 800 - 1600 W source power; 150 W bias power; 8 - 16 mT process chamber pressure; 7 T helium back pressure on the backside of the substrate wafer, 45 °C substrate temperature, and an 80°C process chamber wall and dome temperature
  • the C1 2 /CH 4 ratio was va ⁇ ed from 6 1 to 33 1 No additive gas (such as BC1 3 ) was used in this set of expenments
  • the height of each line (/ e , etch depth) was approximately 1 ⁇ m
  • the endpoint time required to reach this etch depth was recorded
  • the etch profile angle for the wall of the etched aluminum line, relative to the underlying substrate, was measured in degrees (with a vertical, i e , 90°, etch profile being ideal)
  • Etch profile microloading ( ⁇ °) was measured by companng the average etch profile angle of a dense array of lines with the average etch profile angle of isolated lines on the same substrate
  • Etch profile angles which are described subsequently herem are best illustrated with reference to Figure 3
  • Figure 3 shows a cross-sectional schernatic of a pattern of lines 302 and spaces 304 upon a substrate 306.
  • the etch profile in general refers to the cross-sectional profile of an aluminum line sidewall 308.
  • An etch profile angle, ⁇ is the angle between a line sidewall 308 and the surface 310 of the underlying substrate 306 The angle is measured from the substrate surface 310 inte ⁇ or of the -line 302 toward the line sidewall 308.
  • ⁇ l is approximately 85 ° and represents a "tapered" line sidewall profile, where the line is broader at its base (adjacent to substrate surface 310)
  • ⁇ 2 is 90°, so that the line sidewall forms a perpendicular intersection with substrate surface 310.
  • c 3 is 105° and represents a retrograde or undercut line sidewall profile, where the line is more narrow at its base than at its top.
  • FIG. 4 shows the effects of increasing the source gas flow rate 402, the Cl 2 : CH 4 ratio 404, the process chamber pressure 406, and the source power 408 on the etch endpoint time.
  • increasing the Cl 2 : CH ratio 404 resulted in a dramatic increase in the aluminum alloy etch rate (indicated by a decrease in etch endpoint time).
  • Increasing the process chamber pressure 406 also produced a significant increase in the aluminum alloy etch rate.
  • Increasing the source gas flow rate 402 and the source power 408 produced more moderate increases in the aluminum alloy etch rate.
  • Figure 5 shows the effects of increasing the source gas flow rate 502, the Cl 2 : CH 4 ratio 504, the process chamber pressure 506, and the source power 508 on the etch profile angle of an aluminum line sidewall.
  • increasing the source power 508 resulted in a dramatic increase in the etch profile angle (i.e., brought the etch profile angle closer to 90°).
  • Increasing the Cl : CH 4 ratio 504 also produced a significant increase in the etch profile angle.
  • Increasing the source gas flow rate 502 produced a more moderate increase in the etch profile angle, while increasing the process chamber pressure 506 decreased the etch profile angle.
  • Figure 6 shows the effects of increasing the source gas flow rate 602, the Cl 2 : CH 4 ratio 604, process chamber pressure 606, and the source power 608 on the etch profile microloading.
  • increasing the Cl 2 : CH 4 ratio 604 resulted in a dramatic decrease in etch profile microloading.
  • Increasing the source power 608 produced a moderate decrease in etch profile microloading.
  • Increasing the source gas flow rate 602 had no significant effect on the etch profile microloading.
  • Increasing the process chamber pressure 606 moderately increased the etch profile microloading. This is thought to be due to increased residence time of the chlorine gas when higher chamber pressures are used. Chlorine gas etches the aluminum line sidewall, and an increased residence time results in an increased profile angle.
  • Figure 7 shows the effects of increasing the source gas flow rate 702, the Cl 2 : CH 4 ratio 704, the process chamber pressure 706, and the source power 708 on the amount of residue remaining after etching.
  • the amount of residue remaining after etching is shown in arbitrary units that were assigned after comparative evaluation of scanning electron micrographs (SEMs) taken at 20k magnification, where the number of deposits present on a given surface area were compared and rated on a scale from 1 to 10. Residues remaining after aluminum alloy etch are typically compounds of aluminum or copper.
  • EXAMPLE THREE Experiments were conducted to measure the effects of varying the CH 4 flow rate, source power, bias power, and process chamber pressure on the etching of an aluminum alloy (0.5% Cu) feature. This process work was performed in an Applied Materials' System 5084 prototype etch processing apparatus. The System 5084 had equivalent features to the Applied Materials' System 2982 etch processing apparatus shown in Figures 1 and 2.
  • the etch chamber included a metal decoupled plasma source (DPS) with a mechanical clamp for 150-mm wafer processing.
  • DPS metal decoupled plasma source
  • the substrates were baked in a convection oven at 1 10°C for at least one hour p ⁇ or to etching
  • the aluminum alloy and the titanium nitnde barner layer were etched using the following process parameters.
  • etch endpoint times increased by about 23 - 35% (from 54 seconds when no CH 4 was used, to 75 - 90 seconds when 20 seem CH 4 was used).
  • Increasing the process chamber pressure from 10 to 15 mT decreased the etch endpoint time slightly (to 72 seconds, when 20 seem CH 4 was used). .
  • EXAMPLE FOUR Experiments were conducted to measure the effects of varying the plasma source gas composition, process chamber pressure, and bias power on the etching of an aluminum alloy (1% Cu) feature. The same general process described in Example Three for etching of aluminum alloy (0.5% Cu) features was used. The following film stack, from top to bottom, was used for this study: 1.4 ⁇ m i-line photoresist (supplied by TFI of Fremont, CA); 250 A TiN ARC; 8000 A Al - 1% Cu; 1000 A TiN barrier layer; and approximately 1 ⁇ m silicon oxide, on a silicon wafer substrate.
  • All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0.4 ⁇ m and an aspect ratio of about 2.5 : 1.
  • the TiN ARC was patterned using a commercially available i-line stepper. Etching was performed using the Applied Matenals' System 5084 prototype etch processing apparatus described in Example Three, above.
  • the aluminum alloy and the titanium nitride barrier layer were etched using the following process parameters: 90 - 100 seem Cl 2 , 25 seem BC1 , 10 - 20 seem CH 4 , 0 - 75 seem Ar; 1500 W source power; 75 - 100 W bias power; 10 - 15 mT process chamber pressure; 8 T helium back pressure on the backside of the substrate wafer; 60°C substrate temperature; and a 65 °C process chamber wall temperature. Etch endpoint times were recorded.
  • Increased plasma source powers (1200 ⁇ 1800 W) resulted in overetching of aluminum at the bottom of the feature (i.e., sidewall profile angles > 90°).
  • Higher bias powers 100 ⁇ 160 W significantly shortened the lifetime of photoresist masking layers, while increasing etch rate microloading.
  • Higher process chamber pressures (10 ⁇ 15 mT) increased substrate etch rates in general.
  • Higher process chamber pressures also resulted in increased residue (typically copper compounds) being deposited on aluminum alloy (1% Cu) feature surfaces. The presence of argon in the plasma source gas was demonstrated to assist in residue removal from etched aluminum alloy feature surfaces.
  • centerpoint runs were included for a total often wafer runs.
  • the centerpoint recipe was selected based on the one-dimensional experiments on aluminum alloy (0.5% > Cu) features described in Example Three, above. The factors and levels used in this experiment are set forth in Table 7, below.
  • Etching was performed using the Applied Materials' System 5084 prototype etch processing apparatus described in Example Three, above.
  • the aluminum alloy and the titanium nitride barrier layer were etched using the following process parameters: 12 mT process chamber pressure; 1500 W source power; 100 W bias power; 8 T helium back pressure on the backside of the substrate wafer; 60 °C cathode temperature; and a 65 °C process chamber wall temperature,.
  • FIG. 9 shows the effects of varying the Cl 2 flow rate 902, BC1 3 flow rate 904, Ar flow rale 906, and CH 4 flow rate 908 on the aluminum alloy etch endpoint time.
  • increasing the Cl 2 flow rate represented by reference numeral 902
  • CH 4 flow rate 908 resulted in a significant decrease in the aluminum alloy etch rate.
  • Increasing the Ar flow rate 906 resulted in a moderate decrease in the aluminum alloy etch rate.
  • FIG. 10 shows the effects of varying the Cl 2 flow rate 1002, BC1 flow rate 1004, Ar flow rate 1006, and CH 4 flow rate 1008 on the amount of residue remaining after etching. Residue was quantified by counting the number of deposits observed in an area of approximately 2 ⁇ m 2 as shown on an SEM taken at 20k magnification. As shown in Figure 10, increasing the Cl 2 flow rate 1002 resulted in a dramatic increase in the amount of residue remaining after etching. This is thought to be due to increased aluminum etch rates with higher Cl 2 flows.
  • Figure 1 1 shows the effects of varying the Cl 2 flow rate 1 102, BC1 3 flow rate 1104, Ar flow rate 1 106, and CH 4 flow rate 1 108 on the photoresist etch rate.
  • increasing the Cl 2 flow rate 1102 resulted in a dramatic increase in the photoresist etch rate.
  • Increasing the CH 4 flow rate 1108 resulted in a dramatic decrease in the photoresist etch rate.
  • Increasing the Ar flow rate 1106 also resulted in a significant decrease in the photoresist etch rate.
  • Increasing the BC1 3 flow rate 1104 resulted in a moderate decrease in the photoresist etch rate.
  • bias power of less than about - 200 V (preferably ranging from about - 50 V to about - 150 V) was generally found to be acceptable.
  • Higher plasma source powers generally resulted in slightly greater overetch of aluminum at the bottom of the feature (which were indicated by undercut or retrograde etch profile angles of > 90°).
  • Acceptable plasma source powers ranged from about 300 W to about 2000 W; preferably, about 800 W to about 1600 W; most preferably, about 800 W to about 1200 W.
  • Lower process chamber pressures (5 - 50 mT; preferably, 5 - 25 mT; most preferably, 8 - 12 mT) were required for residue control. Argon was also necessary for residue control.
  • Preferred argon content of the plasma source gas ranges from about 20 to about 200 seem. Increasing the Cl 2 flow rate resulted in significant increases in the aluminum alloy etch rate, photoresist etch rate, and the amount of residue remaining after etching. Preferred Cl 2 content of the plasma source gas ranges from about 50 seem to about 200 seem. Preferred overall flow rate of the plasma source gas ranges from about 50 seem to about 350 seem. Overall, a residue-free etch process was developed for aluminum alloy etching which avoided pitting of the etched alloy surface by the addition of a hydrocarbon to the process chamber during etching.
  • the present invention provides a method for anisotropic etching of aluminum and aluminum alloys which provides an acceptable etch rate and good etch profile angle, without disappearance of the mask layer, while providing an essentially residue-free etched surface.
  • the method of the invention is particularly useful for etching aluminum alloys having high (i.e., greater than about 0.5%>) alloy content.
  • the method of the invention is also especially useful for essentially residue- free etching of aluminum or aluminum alloy layers deposited on substrates having large open areas (i.e., where open areas comprise greater than about 65%> of the surface area of the wafer).

Abstract

The present invention is a method for essentially residue-free anisotropic etching of aluminum or aluminum alloys using a plasma generated from a source gas which includes a chlorine-comprising gas and a hydracarbon-comprising gas. Etching takes place in a processing apparatus which provides separate power control for the plasma generation source and for a substrate biasing means. Etching is performed using a high density plasma (at least 10?11 e-/cm3¿) and a low substrate bias (less than about200V). The method of the invention provides an acceptable etch rate and good etch profile, while prolonging the lifetime of the masking layer. The method of the invention is particularly useful for etching aluminum alloys having high (i.e., greater than about 0.5 %) alloy content. The method of the invention is also especially useful for essentially residue-free etching of aluminum or aluminum alloy layers deposited on substrates having large open areas (i.e., where open areas comprise greater than about 65 % of the surface area of the wafer).

Description

METHOD FOR RESIDUE-FREE ANISOTROPIC ETCHING OF ALUMINUM AND ITS ALLOYS
BACKGROUND OF THE INVENTION
1. Field of the Invention The present invention pertains to a method for residue-free anisotropic etching of aluminum and aluminum alloys.
2. Brief Description of the Background Art Various methods of etching aluminum and aluminum alloys are disclosed in the art. Several of these methods are described below. U.S. Patent No. 4,618,398, issued October 21, 1986 to Nawata et al, discloses a dry etching method wherein an etchant source gaseous mixture of boron trichloride, chlorine and a hydrocarbon is converted into a plasma to etch aluminum or its alloys. The invention makes it possible to accomplish the anisotropic etching of aluminum or its alloys at high speeds with low plasma RF power density. U.S. Patent No. 5,277,750, issued January 1 1, 1994 to Frank, discloses a method for anisotropic dry etching of metallization layers containing aluminum or aluminum alloys, in integrated semiconductor circuits, using an etching mask. Etching is performed with a strictly anisotropically attacking etching gas mixture containing an iodine compound being volatile under normal conditions, wherein an accurately defined vertical profile of the conduction lines is formed. U.S. Patent No. 5,298,1 12, issued March 29, 1994 to Hayasaka et al., discloses a method and apparatus for removing a composite material to be treated by dry ashing using a gas including a halogen element and a gas including a hydrogen element or using a gas including fluorine, a gas including oxygen, and a gas including chloπne in a reaction chamber containing the material therein for use in manufactuπng a semiconductor device. U.S. Patent No. 5,779,926, issued July 14, 1998 to Ma et al., discloses a method of etching a multicomponent aluminum alloy on a substrate, without forming etchant residue on the substrate. In the method, the substrate is placed in a process chamber compπsing a plasma generator and plasma electrodes. A process gas having a volumetπc flow ratio V. of (i) a chlorine-containing gas capable of ionizing to form dissociated CT plasma ions and non-dissociated Cl2 + plasma ions, and (ii) an inert gas capable of enhancing dissociation of the chlorine-containing gas, is introduced into the process chamber. The process gas is ionized to form plasma ions that energetically impinge on the substrate by (i) applying RF current at a first power level to the plasma generator, and (ii) applying RF current at a second power level to the plasma electrodes. The combination of the volumetric flow ratio V. of the process gas and the power ratio Pr of the first power level to the second power level is selected so that the chlorine- containing etchant gas ionizes to form dissociated CI+ plasma ions and non-dissociated Cl2 + plasma ions in a number ratio of at least about 0.6 : 1. The increased amount of dissociated Cl+ ions relative to non-dissociated Cl2 + ions etches the multicomponent alloy on the substrate at an etch rate of at least about 500 nm per minute, without forming etchant residue on the substrate. It is known in the art that, in order to obtain an acceptable etch profile when etching aluminum or its alloys, it is important to passivate the etched sidewall in order to protect the walls of etched features from further etching by incident reactive species dunng continued vertical etching of the feature through a mask Passivating films are formed by gaseous compounds (i e , sidewall passivating agents) which react to form a protective film over the sidewalls of etched features as they are formed. Nitrogen (N2) gas is a commonly used sidewall passivating agent. Although nitrogen passivation provides an acceptable etch profile, the use of nitrogen gas can result in the production of undesirable residues, such as silicon nitride or copper nitride (when etching aluminum- copper alloys), which can be difficult to remove. If left in place, these residues can bridge between metal interconnect lines, causing electrical problems (e.g., shorts) in the device. Hydrocarbons (such as CH4) have been used as sidewall passivating agents. However, in a typical plasma etch chamber, the use of hydrocarbons as passivating agents slows the etch rate of the aluminum to an unacceptable level (i.e., below about 5,000 A per minute). When a high power density (i.e., greater than about 5 mW/cm2, or about 1 mA/cm2) is employed during etching, to improve the aluminum etch rate in a capacitively coupled or inductively coupled etch chamber, this leads to ion bombardment of the substrate surface, resulting in rapid etching of the mask layer as well as the aluminum layer. If the mask layer thickness is increased to compensate, it is difficult to achieve the desired etched feature profile. It would be desirable to provide a method for etching aluminum and aluminum alloys that provides an acceptable selectivity for the aluminum over the masking layer, provides the desired etch profile, and provides an acceptable aluminum etch rate, while providing an etched surface which is essentially residue-free.
SUMMARY OF THE INVENTION In a typical plasma processing apparatus, the power for the plasma generation source is under common control with the power for the substrate biasing means. For example, in a parallel plate plasma chamber, an increase in plasma source power automatically increases power to the substrate pedestal which biases the substrate We have used a plasma generating apparatus having a plasma source power control which is separate from the power control to the substrate biasing device, in order to provide increased selectivity toward aluminum over surrounding etch masking mateπals dunng the etch process while avoiding damage to substrate devices Further, we have discovered that use of the sepaiate power controls descnbed above enables the addition of a hydrocarbon-compnsing matenal into the etch process, while maintaining a satisfactory etch rate for aluminum We have discovered that using a separate power control apparatus for the plasma generation source and for the device used to bias the substrate, in combination with the addition of a hydrocarbon to either the plasma source gas or to the process chamber (or both) in which a substrate is etched, enables a simultaneous improvement of the selectivity of etching for aluminum over adjacent masking mateπals, while protecting the sidewalls of an etched aluminum feature (: e , providing sidewall passivation The polymer formed on the sidewalls of the etched feature as a result of the presence of the hydrocarbon-compnsing matenal is adequate to protect the etched aluminum sidewalls under anisotropic etch conditions. By increasing the power to the plasma generation source, the number of reactive species present on the feature surfaces can be greatly increased By separately controlling the substrate bias, this bias can be set to produce anisotropic etch conditions without causing heavy ion bombardment of all honzontal feature surfaces As a result, the etchant species composition (rather than ion bombardment) controls selectivity toward aluminum over adjacent masking matenals, while sufficient substrate bias to produce anisotropic etch conditions is achieved By simultaneously adding hydrocarbon to plasma species at the feature surface, a thin layer of polymer is deposited on the sidewall and in the bottom of the feature. Because the feature sidewalls are not as exposed to etchant species dunng anisotropic etching, the thin layer of polymer serves to protect the sidewall surfaces from being etched while the polymer layer at the bottom of the feature is removed (the thin layer of polymer that was deposited on the honzontal surfaces, i e., on the field surface and in the bottom of the feature, is removed during anisotropic etching.) The method of the present invention compnses amsotropically etching aluminum or an aluminum alloy using a plasma generated from a plasma source gas which comprises a chlorine-comprising gas and a hydrocarbon-comprising gas. Etching takes place in a processing apparatus having separate power controls for the plasma generation source and substrate biasing means. Preferably, the chlorine-comprising gas is selected from the group consisting of Cl2, HC1, BC13, CCI4. SiCl4, CHC13) CC12F2, CHC12F, and combinations thereof. More preferably, the chlorine-comprising gas does not comprise fluorine. Most preferably, the chlorine-comprising gas is Cl2. The hydrocarbon-comprising gas preferably has the chemical formula CxHy, where x typically ranges from about 1 to about 5, when y ranges from about 1 to about 12. More preferably, x ranges from 1 to 3, and ranges from 1 to 6. Most preferably, the hydrocarbon-comprising gas is CH4. The atomic ratio of chlorine : carbon in the plasma source gas preferably ranges from about 5 : 1 to about 200 : 1 ; more preferably, from about 10 . 1 to about 20 . 1. The atomic ratio of hydrogen : carbon in the hydrocarbon preferably ranges from about 1 1 to about 4 : 1. The plasma source gas may also compπse an additive gas which aids in etch profile control The additive gas is preferably selected from the group consisting of BC131 N2, CF4, C2F6, C4F8, CHF3, CH2F2, CHC13, CHC1,F, CC12F2, C2C12F4, CBrF3, CBr2F2, O2) and combinations thereof However, other similar additive gases may be used for profile control More preferably, the additive gas does not compnse oxygen Most preferably, the additive gas is BC13 The plasma source gas typically includes a non-reactive, diluent gas selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof, with argon being preferred due to its lower cost The electron density of the plasma is preferably at least 10" e /cm3, most preferably, about 10'2 e /cm3 The substrate bias is preferably less than about - 200 V Most preferably, the substrate bias ranges from about - 50 V to about - 150 V The method of the invention is particularly useful for etching aluminum alloys, such as aluminum-copper and aluminum-copper-sihcon alloys, which tend to produce more undesirable residues than pure aluminum The method of the invention is especially useful for essentially residue-free etching of aluminum or aluminum alloy layers deposited on substrates having large open areas (i e , where open areas compπse greater than about 65% of the surface area of the wafer)
BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a cross-sectional schematic of an Applied Mateπals' CENTURA® etch system, which is an example of an etch processing apparatus useful in the present invention Figure 2a is a detailed schematic of an individual metal etch decoupled plasma source (DPS) chamber of the type used in the Applied Matenals' CENTURA® etch system shown in Figure 1 Figure 2b is a vertical cross-sectional schematic of an individual metal etch DPS chamber, as taken from U S Patent No 5,779,926 This schematic shows independent power controls 268 for the plasma source power and 270 for the bias power Figure 3 is an illustration of a feature 306 etched in an aluminum layer 308 which has been deposited on a substrate 310 This illustration is provided to show how the etch profile of an aluminum line sidewall is measured, with reference to an included angle varying from the desired perpendicular wall (90°) Figure 4 is a graph showing the effects of increasing the source gas flow rate 402, the Cl2 CH4 ratio 404, the process chamber pressure 406, and the source power 408 on the aluminum etch endpomt time, which is an indicator of the aluminum etch rate (i e , the shorter the endpomt time, the faster the etch rate) Figure 5 is a graph showing the effects of increasing the source gas flow rate 502, the Cl2 CH4 ratio 504, the process chamber pressure 506, and the source power 508 on the etch profile angle of an aluminum line sidewall. Figure 6 is a graph showing the effects of increasing the source gas flow rate 602, Cl2 H4 ratio 604, process chamber pressure 606, and the source power 608 on the etch profile microloading, Δ° (; e , the difference between the average etch profile angle of a dense array of lines and the average etch profile angle of isolated lines on the same substrate) Figure 7 is a graph showing the effects of increasing the source gas flow rate 702, the Cl2 CH4 ratio 704, the process chamber pressure 706, and the source power 708 on the amount of residue remaining after etching The amount of residue remaining after etching is shown in arbitrary units that were assigned after comparative evaluation of scanning electron micrographs (SEMs) taken at 20k magnification, where the number of deposits present on a given surfaces area were compared and rated on a scale from 1 to 10. Figure 8 is a graph showing the effects of increasing the bias power, while maintaining a constant source power of 1800 W, on the total amount of photoresist remaining in the center and at the edge of a wafer after completion of etch. Figure 9 is a graph showing the effects of varying the Cl2 flow rate 902, BC13 flow rate 904, Ar flow rate 906, and CH4 flow rate 908 on the aluminum alloy etch endpoint time, which is an indicator of the aluminum alloy etch rate. Figure 10 is a graph showing the effects of varying the Cl2 flow rate 1002, BC13 flow rate 1004, Ar flow rate 1006, and CH4 flow rate 1008 on the amount of residue remaining after etching. Residue was quantified by counting the number of deposits observed in an area of approximately 2 μm2 as shown on an SEM taken at 20k magnification. Figure 1 1 is a graph showing the effects of varying the Cl2 flow rate 1102, BC13 flow rate 1104, Ar flow rate 1106, and CH4 flow rate 1108 on the photoresist etch rate.
DETAILED DESCRIPTION OF THE INVENTION We have discovered an improved method for essentially residue-free etching of aluminum and aluminum alloys in semiconductor devices, including'interconnect structures and contacts. The method itself and preferred process parameters for performing the method of the invention are described in detail below. I. DEFINITIONS As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms "a", "an", and "the" include plural referents, unless the context clearly dictates otherwise. Specific terminology of particular importance to the description of the present invention is defined below. The term "aluminum alloy" includes alloys of aluminum of the kind typically used in the semiconductor industry. Such alloys include, but are not limited to, aluminum-copper alloys, and aluminum-copper-silicon alloys, for example. Typically, the aluminum content of the alloy is 90% or greater. The term "anisotropic etching" refers to etching which does not proceed in all directions at the same rate. If etching proceeds exclusively in one direction (e.g., only vertically), the etching process is said to be completely anisotropic. The term "aspect ratio" generally refers to the ratio of the height dimension to the width dimension of a particular feature. In situations where the feature has more than one width, the minimum width is used in calculating the aspect ratio. The term "bias power" refers to the power applied to the substrate support platen to produce a negative voltage on the substrate surface. Typically, the negative voltage is used to control ion bombardment energy and the directionality of ions toward a substrate. The term "chlorine" as used herein is intended to encompass Cl2 as well as other chlorine-comprising compounds which are capable of producing reactive etchant species. The term "decoupled plasma source" refers to a plasma generation apparatus which has separate controls for power input to a plasma source generator and to a substrate bias device. Typically, the plasma source power controller controls the supply of inductively coupled RF power which is used to generate the plasma and determines the plasma density and the bias power controller controls the supply of RF power which is used to generate a DC bias voltage on the semiconductor substrate surface. The bias voltage affects the ion bombardment energy on the substrate surface. This decoupled plasma source typically incorporates measures to separate (decouple) the influence of the source and bias on one another. The ENDURA ® metal deposition systems and CENTURA® metal etch systems available from Applied Materials, Inc. of Santa Clara, California, which include decoupled plasma source power and bias power control, are referred to as "DPS" systems. Similar equipment available from other manufactures may be referred to by different nomenclature. The term "etch profile" generally refers to, but is not limited to, the cross- sectional profile of the sidewall of an etched aluminum line. In many instances herein, the etch profile is described in terms of an angle between the sidewall and the underlying substrate. When the angle is 90°, the sidewall is perpendicular to the substrate. This is generally preferred. When the angle is greater than 90° (positive), the line sidewall is said to be tapered (i.e., the line is wider at its base where it contacts the substrate). When the angle is less than 90° (negative), the line sidewall is said to be retrograde or undercut (i.e., the line is more narrow at its base than at its upper surface). Figure 3 illustrates positive and negative angle line sidewall profiles. The term "etch profile microloading" refers to the difference between the average etch profile angle of a dense array of lines and the average etch profile angle of isolated lines on the same substrate. For example, if the average etch profile angle of a dense array of lines is 90°, and the average etch profile angle of isolated lines on the same substrate is 85°, the etch profile microloading is 5° (i.e., 90° - 85° = 5°). The term "feature" refers to, but is not limited to, interconnects, contacts, vias, trenches, and other structures which make up the topography of the substrate surface. The term "feature size" typically refers to the smallest dimension of a feature The term "high density plasma" refers to, but is not limited to, a plasma having an electron density of at least 10" e /cm3 The term "hydrocarbon" refers to, but is not limited to, a hydrogen and carbon- compnsing compound having the general formula CxHy, where r preferably ranges from about 1 to about 5, and y preferably ranges from about 1 to about 12 The term "ion bombardment" refers to, but is not limited to, physical bombardment of a substrate surface by ions (and other excited species of atoms which are present with the ions) Ion bombardment is frequently used to remove atoms from a substrate surface, where physical momentum transfer is used to achieve the atom removal The term "open areas" refers to the areas of the substrate into which openings have been formed (e g , the substrate has been patterned and etched to form contact vias, trenches, etc ) A substrate having large open areas is a substrate in which openings have been formed over a large percentage (i e , greater than about 65%) of the substrate surface The term "oxide loss" refers to disappearance of the silicon oxide layer which is typically sandwiched between the substrate and a diffusion barπer layer The term "plasma" refers to a partially ionized gas containing an essentially equal number of positive and negative charges, as well as some other number of non-ionized gas particles The term "sidewall passivation" refers to protecting the sidewall of an etched feature from further etching by incident reactive species dunng continued vertical etching of the feature through a mask The term "source power" refers to the power used to generate plasma ions and neutrals, whether directly in an etching chamber or remotely, as in the case of a microwave plasma generator
II AN APPARATUS FOR PRACTICING THE INVENTION An apparatus having separate controls for power to the plasma generation source and the substrate biasing means was descnbed by Yan Ye et al at the Proceedings of the Eleventh International Symposium of Plasma Processing (May 7, 1996) and published in the Electrochemical Society Proceedings (Volume 96-12, pp 222 - 233, 1996) Figure 1 shows a cross-sectional schematic of an etch processing apparatus that can be used in the practice of the present invention, the Applied Mateπals' CENTURA® etch system (Applied Mateπals, Inc., Santa Clara, CA). The CENTURA® etch system is a fully automated semiconductor fabrication system, employing a single-wafer, multi- chamber design which accommodates 200-mm wafers As shown in Figure 1, the CENTURA® etch system includes decoupled plasma source (DPS) chambers 102, advanced stπp-and-passivation (ASP) chambers 104, wafer oπenter chamber 106, cooldown chamber 108; and independently operated loadlock chambers 110. The expeπments descnbed in Examples One and Two, below, were performed using a System 2982 CENTURA® etch system The System 2982 differs from the genenc CENTURA® etch system shown in Figure 1 in that the System 2982 includes only one ASP chamber Figure 2a shows a detailed schematic of an individual metal etch DPS chamber 102 of the type used in the CENTURA® etch system The metal etch DPS chamber 102 includes a ceramic dome 202, a standard monopolar electrostatic chuck (ESC) 204, and a 1 0-ιnch focus nng 206 The dome 202 is maintained at a constant temperature to control the formation of particulates during processing. Gas is introduced into the chamber via four ceramic gas injection nozzles 208 for uniform gas distribution. Chamber pressure is controlled by a closed-loop pressure control system 210 with a unique plunger-style throttle valve 212. The DPS etch chamber 102 uses an inductive plasma source, frequency tuned at approximately 2 MHZ, to generate and sustain a high density plasma (i.e , having an electron density of of at least 10" eVcm3). The wafer is biased with a 13.56 MHZ RF power source. The decoupled nature of the plasma source allows independent control of ion energy and ion density, which provides highly uniform plasma (< 5% variation) with a wide process window over changes in source and bias power, pressure, and metal etch gas chemistry. Figure 2b is a vertical cross-sectional schematic of an individual metal etch DPS chamber 102. In the etching process, a substrate 225 is placed in the processing chamber 102 and held in place by means of an electrostatic chuck 273. The electrostatic chuck 273 overlies a cathode plasma electrode 257, which is connected to an independently controlled plasma electrode (RF) power source 270. The walls 263 of the chamber are electrically grounded to form an anode plasma electrode 258. A plasma source gas is introduced into and distributed throughout the processing chamber 102 by means of a gas distributor 265 peripherally disposed above the substrate 225. Plasma ions are formed from the plasma source gas by applying an RF current to an inductive coil plasma generator 255, which is connected to an independently controlled plasma generator (RF) power source 268. The cathode electrode 257 is electrically biased with respect to the anode electrode 258 by applying an RF voltage to the cathode electrode 257 via power source 270, so that the plasma ions formed in the chamber 102 are attracted toward, energetically impinge upon, and etch the substrate 225. Spent process gas and etchant byproducts are exhausted from the process chamber 102 through an exhaust system 274. A throttle valve 276 is provided in the exhaust for controlling the pressure in the chamber 102. A more detailed description of the metal etch DPS chamber 102 is found in U.S. Patent No. 5,779,926, issued July 14, 1998 to Ma et al., the disclosure of which is hereby incorporated by reference herein in its entirety. The experiments described in Examples Three, Four, and Five, below, were performed using an Applied Materials' System 5084 prototype etch processing system. The System 5084 is a fully automated semiconductor fabrication system, employing a single-wafer, multi-chamber design which is very similar to the System 2982 CENTURA® Etch System, but which accommodates 150-mm wafers. The System 5084 supports three processing chambers (two prototype DPS ch.am.bers and one ASP chamber), which are attached to a central loadlock chamber. The System 5084 apparatus is able to perform the same functions in a similar manner to the Applied Materials' System 2982 apparatus.
III. THE METHOD FOR RESIDUE-FREE ANISOTROPIC ETCHING OF ALUMINUM AND ITS ALLOYS The method of the invention comprises anisotropic etching of aluminum or aluminum alloys using a plasma generated from a plasma source gas which includes reactive, chlorine-comprising species and a hydrocarbon-comprising gas, in combination with an independently controlled plasma generation source and substrate biasing means. The etch processing chamber may utilize an externally or locally generated plasma source with or without an RF coupled internal coil to increase plasma ion content. The chlonne-compnsing species is typically generated from a gas which is preferably, selected from the group consisting of Cl2, HC1, BC13, CC14, SιCl4, CHC13, CC12F2, CHC12F, and combinations thereof More preferably, the chlonne-compnsing species is generated from a gas which does not compnse fluonne Most preferably, the chlonne-compnsing species is generated from Cl2 The hydrocarbon-compnsing gas, used to provide sidewall passivation for the etched aluminum feature surfaces, is typically added to the other gases which make up the plasma source gas The hydrocarbon-compnsing gas preferably has the chemical formula CxHy, where x typically ranges from about 1 to about 5, wheny ranges from about 1 to about 12 More preferably, x ranges from 1 to 3, andy ranges from 1 to 6 Most preferably, the hydrocarbon-compnsing gas is CH4 As used herein, the term "sidewall passivation" refers to protecting the sidewall of an etched feature from further etching by incident reactive species dunng continued vertical etching of the feature through a mask In addition, by adjusting the relative ratios of chlonne, carbon, and hydrogen in the plasma source gas, it is possible to obtain the additional benefit of passivating the entire feature surface after completion of etching, thereby providing improved corrosion resistance dunng subsequent processing and device use The chlorine or chlonne-comprising gas and the hydrocarbon- compnsmg gas are preferably provided in relative quantities such that the atomic ratio of chlonne carbon in the plasma source gas is within the range of about 5 1 to about 200 1 , more preferably, from about 10 1 to about 10 1 The atomic ratio of hydrogen carbon in the hydrocarbon preferably ranges from about 1 1 to about 4 1 The plasma source gas may further compnse an additive gas which assists in profile control, where the additive gas is preferably selected from the group consisting of BC13, N2, CF4, C2F6, C4F8, CHF3, CH2F2, CHC13, CHC12F, CC12F2, C2C12F , CBrF3, CBr2F2, O2, and combinations thereof However, other similar additive gases may be used for profile control More preferably, the additive gas does not compnse oxygen The presence of oxygen in the plasma source gas can lower the selectivity of the plasma source gas for the preferential etching of aluminum relative to the photoresist masking matenal, resulting in an undesirable etch rate for the photoresist masking layer The most preferred additive gas is BC13 The plasma source gas typically includes a non-reactive, diluent gas, where the non-reactive, diluent gas is selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof, with argon being preferred due to its lower cost Etching is performed using a process apparatus in which the plasma source power is separately controlled from the substrate bias power A general descnption of which is provided in Section II, above The electron density of the plasma is preferably at least 10" e /cm3, and more preferably, about 1012 e /cm3 In order to provide anisotropic etching, while reducing the amount of ion bombardment on the surface of the etch masking layer, the substrate bias power is carefully controlled In the apparatus descnbed herein, the bias power is preferably less than about 300 W; more preferably, less than about 200 W, most preferably, less than about 100 W Table 1 , below, provides preferred process conditions for essentially residue- free etching of aluminum and/or its alloys according to the method of the invention, using the Applied Matenals' System 2982 CENTURA® Etch System, which is shown in Figures 1 and 2 and descnbed in Section II, above Table 1 . Preferred Process Conditions for Etching Aluminum and its Alloys
Figure imgf000019_0001
* The substrate temperature is typically about 40 - 50°C higher than the pedestal temperature. For example, a pedestal temperature of about 50°C would typically result in a substrate temperature of about 90 - 100°C).
EXAMPLE ONE:
Experiments were conducted to compare aluminum alloy etch rates for an etchant species mixture of Cl2 and BC13 which was held constant, while nitrogen and methane were added to the plasma source gas in varying amounts.
The process work was performed in an Applied Materials' System 2982 etch processing apparatus, which is shown in Figures 1 and 2 and described in section II, above.
The following film stack, from top to bottom, was used for this study: 1.8 μm i-line photoresist (supplied by TFI of Fremont, CA); 450 A TiN ARC (anti-reflective coating); 1 1 ,500 A Al - 0.5% Cu; 700 A Ti barrier layer; and 1.12 μm silicon oxide, on a silicon wafer substrate.
All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0.4 μm and an aspect ratio of about 2.5 : 1. The TiN ARC was patterned using a commercially available i-line stepper.
The aluminum alloy and the titanium barrier layer were etched using the following process parameters: 100 seem Cl2, 40 seem BCl3, and 5 or 10 seem of N or CH4; 1200 W source power; 150 W bias power; 10 - 20 mT process chamber pressure; 7 T helium back pressure on the backside of the substrate wafer; 45 °C substrate temperature; and an 80°C process chamber wall and dome temperature.
The height of each line (i.e., etch depth) was approximately 1 μm. The endpoint time required to reach this etch depth was recorded. The endpoint times for etching, using the different plasma source gases, process chamber pressures, and flow rates, are recorded in Table 2, below.
Table 2. A Comparison of Aluminum Alloy Etch Rates for Nitrogen-comprising and Methane-comprising Plasma Source Gases
Figure imgf000020_0001
Although the aluminum alloy etch rate was significantly slower when the etch plasma contained methane than when the etch plasma contained nitrogen, the etch rate obtained using a methane-comprising source gas was still well above the 5,000 A per minute minimum acceptable etch rate at each of the different process chamber pressures and source gas flow rates.
EXAMPLE TWO: Experiments were conducted to measure the aluminum alloy etch rate, etch profile, etch profile microloading, thickness of the photoresist masking layer remaining after etch, and the amount of residue remaining after etching of aluminum alloy lines and spaces, each as a function of plasma source gas composition (i.e., varying the ratio of Cl : CH4), total gas flow rate, process chamber pressure, and source power. The process work was performed in an Applied Materials' System 2982 etch processing apparatus, which is shown in Figures 1 and 2 and described in section II, above. The following film stack, from top to bottom, was used for this study: 1.8 μm i-line photoresist (supplied by TFI of Fremont, CA); 450 A TiN ARC; 11,500 A Al - 0.5% Cu; 700 A Ti barrier layer; and 1.12 μm silicon oxide, on a silicon wafer substrate. All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0.4 μm and an aspect ratio of about 2.5 : 1. The TiN ARC was patterned using a commercially available i-line stepper. The aluminum alloy and the titanium barrier layer were etched using the following process parameters: 800 - 1600 W source power; 150 W bias power; 8 - 16 mT process chamber pressure; 7 T helium back pressure on the backside of the substrate wafer, 45 °C substrate temperature, and an 80°C process chamber wall and dome temperature The C12/CH4 ratio was vaπed from 6 1 to 33 1 No additive gas (such as BC13) was used in this set of expenments The height of each line (/ e , etch depth) was approximately 1 μm The endpoint time required to reach this etch depth was recorded The etch profile angle for the wall of the etched aluminum line, relative to the underlying substrate, was measured in degrees (with a vertical, i e , 90°, etch profile being ideal) Etch profile microloading (Δ°) was measured by companng the average etch profile angle of a dense array of lines with the average etch profile angle of isolated lines on the same substrate The etch endpoint times, etch profile angles, etch profile microloading, and photoresist thickness at completion of etching are provided in Table 3, below, as a function of the following process vanables. Cl2 : CH4 ratio of the plasma source gas; total flow rate of the plasma source gas, process chamber pressures, and source power (the bias power was held constant at 150 W)
Table 3 Effect of Varying Cl2 CH^ Ratios and Other Process Vaπables on Aluminum Alloy Etch Results
Figure imgf000023_0001
Etch profile angles which are described subsequently herem are best illustrated with reference to Figure 3 Figure 3 shows a cross-sectional schernatic of a pattern of lines 302 and spaces 304 upon a substrate 306. The etch profile in general refers to the cross-sectional profile of an aluminum line sidewall 308. An etch profile angle, α, is the angle between a line sidewall 308 and the surface 310 of the underlying substrate 306 The angle is measured from the substrate surface 310 inteπor of the -line 302 toward the line sidewall 308. For example, αl is approximately 85 ° and represents a "tapered" line sidewall profile, where the line is broader at its base (adjacent to substrate surface 310) By comparison, α2 is 90°, so that the line sidewall forms a perpendicular intersection with substrate surface 310. Further, c 3 is 105° and represents a retrograde or undercut line sidewall profile, where the line is more narrow at its base than at its top. The effects of varying the process variables of plasma source gas Cl2 : CH4 ratio; the source gas flow rate; the process chamber pressure; and the source power on etch performance variables, including etch endpoint time; etch profile angle; amount of residue remaining after etching; and etch profile microloading are shown in Figures 4 - 8, respectively. The general effects of increasing each of the process variables on the aluminum etch rate; etch profile angle; etch profile microloading; and the amount of residue remaining after etching are summarized in Table 4, below.
Table 4. General Effects of Increasing Various Process Variables on Aluminum Alloy Etch Results
Figure imgf000024_0001
T = increases; TT = increases strongly; i = decreases; ii = decreases strongly; — ► = essentially no change.
Mean etch endpoint time was used as the indicator of the aluminum alloy etch rate. The shorter the endpoint time, the faster the etch rate. Figure 4 shows the effects of increasing the source gas flow rate 402, the Cl2 : CH4 ratio 404, the process chamber pressure 406, and the source power 408 on the etch endpoint time. As shown in Figure 4, increasing the Cl2 : CH ratio 404 resulted in a dramatic increase in the aluminum alloy etch rate (indicated by a decrease in etch endpoint time). Increasing the process chamber pressure 406 also produced a significant increase in the aluminum alloy etch rate. Increasing the source gas flow rate 402 and the source power 408 produced more moderate increases in the aluminum alloy etch rate. Figure 5 shows the effects of increasing the source gas flow rate 502, the Cl2 : CH4 ratio 504, the process chamber pressure 506, and the source power 508 on the etch profile angle of an aluminum line sidewall. As shown in Figure 5, increasing the source power 508 resulted in a dramatic increase in the etch profile angle (i.e., brought the etch profile angle closer to 90°). Increasing the Cl : CH4 ratio 504 also produced a significant increase in the etch profile angle. Increasing the source gas flow rate 502 produced a more moderate increase in the etch profile angle, while increasing the process chamber pressure 506 decreased the etch profile angle. Figure 6 shows the effects of increasing the source gas flow rate 602, the Cl2 : CH4 ratio 604, process chamber pressure 606, and the source power 608 on the etch profile microloading. As shown in Figure 6, increasing the Cl2 : CH4 ratio 604 resulted in a dramatic decrease in etch profile microloading. Increasing the source power 608 produced a moderate decrease in etch profile microloading. Increasing the source gas flow rate 602 had no significant effect on the etch profile microloading. Increasing the process chamber pressure 606 moderately increased the etch profile microloading. This is thought to be due to increased residence time of the chlorine gas when higher chamber pressures are used. Chlorine gas etches the aluminum line sidewall, and an increased residence time results in an increased profile angle. This effect is observed to a greater extent in isolated lines than in lines located within a dense array, thereby increasing the etch profile microloading. Figure 7 shows the effects of increasing the source gas flow rate 702, the Cl2 : CH4 ratio 704, the process chamber pressure 706, and the source power 708 on the amount of residue remaining after etching. The amount of residue remaining after etching is shown in arbitrary units that were assigned after comparative evaluation of scanning electron micrographs (SEMs) taken at 20k magnification, where the number of deposits present on a given surface area were compared and rated on a scale from 1 to 10. Residues remaining after aluminum alloy etch are typically compounds of aluminum or copper. As shown in Figure 7, increasing the Cl2 : CH4 ratio 704 and the process chamber pressure 706 resulted in significant increases in the amount of residue remaining after etching. Increasing the source gas flow rate 702 and the source power 678 resulted in modest increases in the amount of residue remaining after etching.
EXAMPLE THREE: Experiments were conducted to measure the effects of varying the CH4 flow rate, source power, bias power, and process chamber pressure on the etching of an aluminum alloy (0.5% Cu) feature. This process work was performed in an Applied Materials' System 5084 prototype etch processing apparatus. The System 5084 had equivalent features to the Applied Materials' System 2982 etch processing apparatus shown in Figures 1 and 2. The etch chamber included a metal decoupled plasma source (DPS) with a mechanical clamp for 150-mm wafer processing. The following film stack, from top to bottom, was used for this study: 1.4 μm i-line photoresist (supplied by TFI of Fremont, CA); 250 A TiN ARC; 8000 A Al - 0.5% Cu; 1000 A TiN barrier layer; and approximately 1 μm silicon oxide, on a silicon wafer substrate. All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0 6 μm and an aspect ratio of about 2.5 1 The TiN ARC was patterned using a commercially available i-line stepper. After patterning of the photoresist mask, the substrates were baked in a convection oven at 1 10°C for at least one hour pπor to etching The aluminum alloy and the titanium nitnde barner layer were etched using the following process parameters. 90 seem Cl2, 0 - 25 seem BC13, 0 - 20 seem CH4, 75 seem Ar; 1200 - 1800 W source power; 100 - 160 W bias power; 10 - 15 mT process chamber pressure, 8 T helium back pressure on the backside of the substrate wafer; 60°C substrate temperature and a 40 - 65° C process chamber wall temperature Etch endpoint times were recorded After etching (with no photoresist stπpping), wafers were baked in a convection oven at 110°C for at least 8 hours for volatilization of residual chlonne. Half of the wafers were then stripped of photoresist in a commercially available plasma asher and dipped in a commercially available solvent at 65 °C for 20 minutes for polymer removal The unstripped wafers were evaluated for amount of photoresist remaining after etch The stnpped wafers were evaluated for oxide loss (in open areas and dense arrays), sidewall surface roughness (i.e., pitting), sidewall profile angle, and amount of residue remaining after etching The effects of changes in the CH4 flow rate, source power, bias power, and process chamber pressure on each of the above cntena were analyzed Figure 8 and Table 5, below, show the effect of increasing the bias power (100, 130, 160 W), while maintaining a fixed source power (1800 W) dunng etch, on the total amount of photoresist masking matenal remaining m the center 802 arid at the edge 804 of the wafer after completion of etch Process parameters for each run were as follows 90 seem Cl2, 0 - 25 seem BC13, 20 seem CH4, 75 seem Ar, 10 mT process chamber pressure; 8 T helium back pressure on the backside of the wafer substrate; 60°C substrate temperature; and a 65 °C process chamber wall temperature, except for the 100 W bias power run, which had a wall temperature of 40°C (previously conducted experiments have shown that varying the process chamber temperature has little effect on aluminum etch results).
Table 5. Effect of Increasing Bias Power on Total Remaining Photoresist
Figure imgf000028_0001
As shown in Figure 8 and Table 5, above, increasing the bias power by only a small amount (increments of 30 W) at a fixed source power of 1800 W resulted in a dramatic decrease in the total amount of photoresist remaining in the center 802 and at the edge 804 of the wafer. By extrapolation of the data presented in Figure 8 and Table 5, it is clear that, in a processing apparatus in which the power to the plasma generation source and to the substrate biasing means are under common control, operation at a source power adequate to produce a satisfactory aluminum etch rate would result in rapid disappearance of the photoresist masking layer.
Increasing the bias power increased oxide loss, while sidewall surface roughness was relatively unaffected. Increasing the source power had minimal effect on sidewall surface roughness, but did result in overetching of aluminum alloy at the bottom of the feature (i.e., sidewall profile angles > 90°), apparently due to increased reactive species density. No residue was observed on any of the samples. During the etch process development, the effect of varying the CH4 flow rate was evaluated, in terms of the sidewall passivation capability, aluminum alloy etch rate, residue production; and selectivity toward etching aluminum alloy over photoresist. Initial results demonstrated that CH4 can provide sufficient passivation for the sidewalls of aluminum lines in an anisotropic etch process. However, etch endpoint times increased by about 23 - 35% (from 54 seconds when no CH4 was used, to 75 - 90 seconds when 20 seem CH4 was used). Increasing the process chamber pressure from 10 to 15 mT decreased the etch endpoint time slightly (to 72 seconds, when 20 seem CH4 was used). .
EXAMPLE FOUR: Experiments were conducted to measure the effects of varying the plasma source gas composition, process chamber pressure, and bias power on the etching of an aluminum alloy (1% Cu) feature. The same general process described in Example Three for etching of aluminum alloy (0.5% Cu) features was used. The following film stack, from top to bottom, was used for this study: 1.4 μm i-line photoresist (supplied by TFI of Fremont, CA); 250 A TiN ARC; 8000 A Al - 1% Cu; 1000 A TiN barrier layer; and approximately 1 μm silicon oxide, on a silicon wafer substrate. All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0.4 μm and an aspect ratio of about 2.5 : 1. The TiN ARC was patterned using a commercially available i-line stepper. Etching was performed using the Applied Matenals' System 5084 prototype etch processing apparatus described in Example Three, above. The aluminum alloy and the titanium nitride barrier layer were etched using the following process parameters: 90 - 100 seem Cl2, 25 seem BC1 , 10 - 20 seem CH4, 0 - 75 seem Ar; 1500 W source power; 75 - 100 W bias power; 10 - 15 mT process chamber pressure; 8 T helium back pressure on the backside of the substrate wafer; 60°C substrate temperature; and a 65 °C process chamber wall temperature. Etch endpoint times were recorded.
The effects of varying the plasma source gas composition, process chamber pressure, and bias power on the etch endpoint time and the amount of residue remaining after etch were analyzed. (No residue had been observed on the 0.5%> Cu features after etching.) The results are presented in Table 6 and discussed below.
Table 6. Effects of Varying Aluminum Alloy Etch Process Parameters on Residue Control and Etch Endpoint Time
Figure imgf000030_0001
The effect of increasing the process chamber pressure from 10 to 15 mT on the aluminum etch rate was investigated. Although the endpoint times decreased 18 - 22%, some residue was seen in the open area surfaces of the wafer. The contribution of argon to the aluminum etch rate and residue control was investigated. When argon was omitted from the plasma source gas composition, the aluminum etch rate increased, but some residue was observed in the open area surfaces of the wafer. The presence of argon provided increased surface bombardment with excited argon species, which provided a surface cleaning action While simultaneously increasing the flow rate of Cl2 (from 90 seem to 100 seem), decreasing the flow rates of CH4 (from 20 seem to 10 seem) and argon (from 75 seem to 40 seem), and decreasing the bias power (from 100 W to 75 W) resulted in a 35% decrease in endpoint time, residue was observed on all areas of the surface. In summary, the results of the experiments described in Examples Three and Four, above, showed that CH4 can be used to passivate sidewalls of etched aluminum alloy features at the expense of decreased, but still acceptable, aluminum alloy etch rates. Increased plasma source powers (1200 → 1800 W) resulted in overetching of aluminum at the bottom of the feature (i.e., sidewall profile angles > 90°). Higher bias powers (100 → 160 W) significantly shortened the lifetime of photoresist masking layers, while increasing etch rate microloading. Higher process chamber pressures (10 → 15 mT) increased substrate etch rates in general. Higher process chamber pressures also resulted in increased residue (typically copper compounds) being deposited on aluminum alloy (1% Cu) feature surfaces. The presence of argon in the plasma source gas was demonstrated to assist in residue removal from etched aluminum alloy feature surfaces. EXAMPLE FIVE:
A ten run designed experiment (1/2 replicate of four factors in eight experiments, plus two centerpoint runs), which varied the flow rates of CH4, Cl2, BC13, and Ar was conducted to investigate the main effects of gas flows on-the etching of aluminum alloy (1% Cu) features. The main effects on aluminum alloy etch caused by varying the flow rate of each gas were clear; however, the interactions between the various gases were unclear.
Two centerpoint runs were included for a total often wafer runs. The centerpoint recipe was selected based on the one-dimensional experiments on aluminum alloy (0.5%> Cu) features described in Example Three, above. The factors and levels used in this experiment are set forth in Table 7, below.
Table 7. Factors and Levels for Two-level Experiment on Aluminum Alloy (1% Cu) Features
Figure imgf000032_0001
The following film stack, from top to bottom, was used for this study: 1.4 μm i-line photoresist (supplied by TFI of Fremont, CA); 250 A TiN ARC; 8000 A Al - 1% Cu; 1000 A TiN barrier layer; and approximately 1 μm silicon oxide, on a silicon wafer substrate. All substrates were patterned using an i-line photoresist mask having a lines and spaces pattern, with a feature size of about 0.4 μm and an aspect ratio of about 2.5 : 1. The TiN ARC was patterned using a commercially available i-line stepper.
Etching was performed using the Applied Materials' System 5084 prototype etch processing apparatus described in Example Three, above. The aluminum alloy and the titanium nitride barrier layer were etched using the following process parameters: 12 mT process chamber pressure; 1500 W source power; 100 W bias power; 8 T helium back pressure on the backside of the substrate wafer; 60 °C cathode temperature; and a 65 °C process chamber wall temperature,.
Curves showing the effects of varying the flow rates of CH4, Cl2, BC13, and Ar on the aluminum alloy etch endpoint time, the amount of residue remaining after etching, and the photoresist etch rate are provided in Figures 9 - 11, respectively. The main effects of increasing the flow rate of each gas on the etch results are summarized in Table 8, below.
Table 8. Main Effects of Increasing the Flow Rates of Various Etchant Gases on Aluminum Alloy Etch Results
Figure imgf000033_0001
T = increases; TT = increases strongly; i = decreases; ii = decreases strongly. Figure 9 shows the effects of varying the Cl2 flow rate 902, BC13 flow rate 904, Ar flow rale 906, and CH4 flow rate 908 on the aluminum alloy etch endpoint time. As shown in Figure 9, increasing the Cl2 flow rate (represented by reference numeral 902) resulted in a dramatic increase in the aluminum alloy etch rate (indicated by a decrease in etch endpoint time). Increasing the CH4 flow rate 908 resulted in a significant decrease in the aluminum alloy etch rate. Increasing the Ar flow rate 906 resulted in a moderate decrease in the aluminum alloy etch rate. Increasing the BC13 flow rate 904 resulted in a modest decrease in the aluminum alloy etch rate. Figure 10 shows the effects of varying the Cl2 flow rate 1002, BC1 flow rate 1004, Ar flow rate 1006, and CH4 flow rate 1008 on the amount of residue remaining after etching. Residue was quantified by counting the number of deposits observed in an area of approximately 2 μm2 as shown on an SEM taken at 20k magnification. As shown in Figure 10, increasing the Cl2 flow rate 1002 resulted in a dramatic increase in the amount of residue remaining after etching. This is thought to be due to increased aluminum etch rates with higher Cl2 flows. Because the chlorine - aluminum product compounds are so much more volatile than the chlorine - copper etch product compounds, if the aluminum alloy etch rate is particularly high, an increased amount of copper-containing residue remains after the aluminum alloy feature is completely etched. Increasing the CH flow rate 1008 and BC13 flow rate 1004 resulted in a significant decrease in the amount of residue remaining after etching. Increasing the Ar flow rate 1006 resulted in a moderate decrease in the amount of residue remaining after etching, and SEM micrographs visually verified the importance of Ar in controlling residue. (The necessity of argon addition was previously demonstrated in the experiment described in Example Four, above). No residue was observed at the edge of the wafer in any of the runs. Figure 1 1 shows the effects of varying the Cl2 flow rate 1 102, BC13 flow rate 1104, Ar flow rate 1 106, and CH4 flow rate 1 108 on the photoresist etch rate. As shown in Figure 11, increasing the Cl2 flow rate 1102 resulted in a dramatic increase in the photoresist etch rate. Increasing the CH4 flow rate 1108 resulted in a dramatic decrease in the photoresist etch rate. Increasing the Ar flow rate 1106 also resulted in a significant decrease in the photoresist etch rate. Increasing the BC13 flow rate 1104 resulted in a moderate decrease in the photoresist etch rate. The reduction in the photoresist etch rate observed with increased flow rates of CH4, Ar, and BC1 is likely due to the dilution of chlorine in the gas mixture. In general, sidewall roughness improved (i.e., sidewall surface was less pitted) with increased CH4 flow. The effectiveness of CH4 for sidewall passivation is more pronounced during high Cl2 flow processes, since a greater degree of sidewall attack is likely to occur due to increased chlorine in the plasma. No profile trends were observed in this experiment. In conclusion, CH4 provided sufficient sidewall passivation to prevent pitting without producing residues on the etched feature surfaces. CH4 addition reduces the aluminum etch endpoint top times by about 23 - 35%; however, acceptable aluminum alloy etch rates of at least 8,000 A per minute can still be obtained. Increasing bias powers led to increased photoresist etch rates; however, a bias power of less than about - 200 V (preferably ranging from about - 50 V to about - 150 V) was generally found to be acceptable. Higher plasma source powers generally resulted in slightly greater overetch of aluminum at the bottom of the feature (which were indicated by undercut or retrograde etch profile angles of > 90°). Acceptable plasma source powers ranged from about 300 W to about 2000 W; preferably, about 800 W to about 1600 W; most preferably, about 800 W to about 1200 W. Lower process chamber pressures (5 - 50 mT; preferably, 5 - 25 mT; most preferably, 8 - 12 mT) were required for residue control. Argon was also necessary for residue control. Preferred argon content of the plasma source gas ranges from about 20 to about 200 seem. Increasing the Cl2 flow rate resulted in significant increases in the aluminum alloy etch rate, photoresist etch rate, and the amount of residue remaining after etching. Preferred Cl2 content of the plasma source gas ranges from about 50 seem to about 200 seem. Preferred overall flow rate of the plasma source gas ranges from about 50 seem to about 350 seem. Overall, a residue-free etch process was developed for aluminum alloy etching which avoided pitting of the etched alloy surface by the addition of a hydrocarbon to the process chamber during etching. The present invention provides a method for anisotropic etching of aluminum and aluminum alloys which provides an acceptable etch rate and good etch profile angle, without disappearance of the mask layer, while providing an essentially residue-free etched surface. The method of the invention is particularly useful for etching aluminum alloys having high (i.e., greater than about 0.5%>) alloy content. The method of the invention is also especially useful for essentially residue- free etching of aluminum or aluminum alloy layers deposited on substrates having large open areas (i.e., where open areas comprise greater than about 65%> of the surface area of the wafer). The above described preferred embodiments are not intended to limit the scope of the present invention, as one skilled in the art can, in view of the present disclosure, expand such embodiments to correspond with the subject matter of the invention claimed below.

Claims

CLAIMSWe claim:
1. A method for essentially residue-free anisotropic etching of aluminum or of an aluminum alloy, wherein said method comprises etching said aluminum or said aluminum alloy using a plasma generated from a plasma source gas comprising a chlorine-comprising gas and a hydrocarbon-comprising gas, and wherein etching takes place in a processing apparatus which provides for separate power control of a plasma generation source and a substrate biasing means.
2. The method of Claim 1, wherein said chlorine-comprising gas is selected from the group consisting of Cl2, HC1, BC13, CC14, SiCl4, CHC13, CC12F2, CHC12F, and combinations thereof.
3. The method of Claim 2, wherein said chlorine-comprising gas does not comprise fluorine.
4. The method of Claim 3, wherein said chlorine-comprising gas is Cl2.
5. The method of Claim 1 , wherein said hydrocarbon-comprising gas has the chemical formula C.Hy, where x ranges from about 1 to about 5, and- ranges from about 1 to about 12.
6. The method of Claim 5, wherein x ranges from 1 to 3, and y ranges from 1 to 6.
7. The method of Claim 6, wherein said hydrocarbon gas is CH4.
8. The method of Claim 1 , wherein the atomic ratio of chlorine : carbon in said plasma source gas ranges from about 5 : 1 to about 200 : 1.
9. The method of Claim 8, wherein the atomic ratio of chlorine : carbon in said plasma source gas ranges from about 10 : 1 to about 20 : 1, and the atomic ratio of hydrogen : carbon in said hydrocarbon ranges from about 1 : 1 to about 4 : 1.
10. The method of Claim 1, wherein said plasma source gas further comprises an additive gas selected from the group consisting of BC13, N2, CF4, C2F6, C4F8, CHF3, CH2F2, CHC13, CHC12F, CC12F2, C2C12F4, CBrF3, CBr2F2, O2, and combinations thereof.
1 1. The method of Claim 10, wherein said additive gas does not comprise oxygen.
12. The method of Claim 11, wherein said additive gas is BC13.
13. The method of Claim 1, wherein said plasma source gas further comprises a non- reactive, diluent gas selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof.
14. The method of Claim 13, wherein said non-reactive, diluent gas is argon.
15. The method of Claim 1, wherein the electron density of said plasma is at least 10" e /cm3.
16. The method of Claim 15, wherein the electron density of said plasma ranges from about 10" e /cm3 to about 1012 e'/cm3.
17. The method of Claim 1, wherein the substrate bias is less than about - 200 V.
18. The method of Claim 17, wherein the substrate bias ranges from about - 50 V to about - 150 V.
PCT/US1999/026267 1998-11-12 1999-11-11 Method for residue-free anisotropic etching of aluminum and its alloys WO2000029640A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020017005956A KR20010080994A (en) 1998-11-12 1999-11-11 Method for residue-free anisotropic etching of aluminum and its alloys
JP2000582617A JP2002530844A (en) 1998-11-12 1999-11-11 Method for anisotropically etching aluminum and its alloys without leaving a residue

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US19043598A 1998-11-12 1998-11-12
US09/190,435 1998-11-12

Publications (2)

Publication Number Publication Date
WO2000029640A1 true WO2000029640A1 (en) 2000-05-25
WO2000029640A9 WO2000029640A9 (en) 2000-11-09

Family

ID=22701346

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/026267 WO2000029640A1 (en) 1998-11-12 1999-11-11 Method for residue-free anisotropic etching of aluminum and its alloys

Country Status (4)

Country Link
JP (1) JP2002530844A (en)
KR (1) KR20010080994A (en)
TW (1) TW571001B (en)
WO (1) WO2000029640A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8390084B2 (en) 2008-06-16 2013-03-05 Rohm Co., Ltd. MEMS sensor

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458591B1 (en) * 2002-04-19 2004-12-03 아남반도체 주식회사 Method for removing polymer in semiconductor
JP4554479B2 (en) * 2005-09-13 2010-09-29 株式会社日立ハイテクノロジーズ Dry etching method
JP2010098518A (en) * 2008-10-16 2010-04-30 Rohm Co Ltd Method of manufacturing mems sensor, and mems sensor

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
GB2137143A (en) * 1983-03-25 1984-10-03 Lfe Corp Plasma reactive ion etching of aluminum and aluminum alloys
US4618398A (en) * 1984-02-13 1986-10-21 Hitachi, Ltd. Dry etching method
US4844767A (en) * 1985-07-12 1989-07-04 Hitachi, Ltd. Method of and apparatus for etching
US5277757A (en) * 1991-03-18 1994-01-11 Sony Corporation Dry etching method
US5277750A (en) * 1991-03-05 1994-01-11 Siemens Aktiengesellschaft Method for anisotropic dry etching of metallization layers, containing aluminum or aluminum alloys, in integrated semiconductor circuits
DE4317722A1 (en) * 1993-05-27 1994-12-01 Siemens Ag Process for the anisotropic etching of an aluminium-containing layer
EP0779651A2 (en) * 1995-10-03 1997-06-18 Hitachi, Ltd. Method and apparatus for plasma processing
WO1997036322A1 (en) * 1996-03-26 1997-10-02 Lam Research Corporation Methods and apparatus for minimizing etch rate loading
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
GB2137143A (en) * 1983-03-25 1984-10-03 Lfe Corp Plasma reactive ion etching of aluminum and aluminum alloys
US4618398A (en) * 1984-02-13 1986-10-21 Hitachi, Ltd. Dry etching method
US4844767A (en) * 1985-07-12 1989-07-04 Hitachi, Ltd. Method of and apparatus for etching
US5277750A (en) * 1991-03-05 1994-01-11 Siemens Aktiengesellschaft Method for anisotropic dry etching of metallization layers, containing aluminum or aluminum alloys, in integrated semiconductor circuits
US5277757A (en) * 1991-03-18 1994-01-11 Sony Corporation Dry etching method
DE4317722A1 (en) * 1993-05-27 1994-12-01 Siemens Ag Process for the anisotropic etching of an aluminium-containing layer
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
EP0779651A2 (en) * 1995-10-03 1997-06-18 Hitachi, Ltd. Method and apparatus for plasma processing
WO1997036322A1 (en) * 1996-03-26 1997-10-02 Lam Research Corporation Methods and apparatus for minimizing etch rate loading

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
LUTZE J W ET AL: "ANISOTROPIC REACTIVE ION ETCHING OF ALUMINUM USING CI2,BCI3, AND CH4 GASES", JOURNAL OF THE ELECTROCHEMICAL SOCIETY,US,ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, vol. 137, no. 1, 1 January 1990 (1990-01-01), pages 249 - 252, XP000133077, ISSN: 0013-4651 *
Y YE ET AL: "0.35 MICRON AND SUB-0.035 MICRON METAL STACK ETCH IN A DPS CHAMBER - DPS CHAMBER AND PROCESS CHARACTERIZATION", ELECTROCHEMICAL SOCIETY PROCEEDINGS, vol. 96, no. 12, 5 May 1996 (1996-05-05), Pennington (US), pages 222 - 233, XP002096578 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8390084B2 (en) 2008-06-16 2013-03-05 Rohm Co., Ltd. MEMS sensor

Also Published As

Publication number Publication date
WO2000029640A9 (en) 2000-11-09
TW571001B (en) 2004-01-11
JP2002530844A (en) 2002-09-17
KR20010080994A (en) 2001-08-25

Similar Documents

Publication Publication Date Title
KR100309617B1 (en) A method of etching aluminum and an aluminum alloy using hydrogen chloride, chlorine-containing etching solution, and nitrogen
US7270761B2 (en) Fluorine free integrated process for etching aluminum including chamber dry clean
US6579806B2 (en) Method of etching tungsten or tungsten nitride in semiconductor structures
US6749770B2 (en) Method of etching an anisotropic profile in platinum
JP3574680B2 (en) Plasma etching using xenon
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6331380B1 (en) Method of pattern etching a low K dielectric layer
US6534416B1 (en) Control of patterned etching in semiconductor features
US5302240A (en) Method of manufacturing semiconductor device
KR960000375B1 (en) Fabricating method of semiconductor device
US6547978B2 (en) Method of heating a semiconductor substrate
TW558768B (en) Unique process chemistry for etching organic low-k materials
US6919168B2 (en) Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
EP0814500B1 (en) Method for etching polycide structures
US20070224829A1 (en) Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
JPH08172077A (en) Plasma etching process improvement method of viahole
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
KR100538839B1 (en) Method for using a hard mask for critical dimension growth containment
KR20030022272A (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
EP0820093A1 (en) Etching organic antireflective coating from a substrate
WO2000029640A1 (en) Method for residue-free anisotropic etching of aluminum and its alloys
TW200401946A (en) Process for etching photomasks
US6399509B1 (en) Defects reduction for a metal etcher
Gutsche et al. Patterning of 0.175 μm platinum features using Ar/O 2 chemically assisted ion-beam etching
WO2000049651A1 (en) Improved masking methods and etching sequences for patterning electrodes of high density ram capacitors

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: C2

Designated state(s): JP KR

COP Corrected version of pamphlet

Free format text: PAGES 1/7-7/7, DRAWINGS, REPLACED BY NEW PAGES 1/7-7/7; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

WWE Wipo information: entry into national phase

Ref document number: 1020017005956

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 582617

Kind code of ref document: A

Format of ref document f/p: F

WWP Wipo information: published in national office

Ref document number: 1020017005956

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1020017005956

Country of ref document: KR