CN103187267A - 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离 - Google Patents

活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离 Download PDF

Info

Publication number
CN103187267A
CN103187267A CN201310072409XA CN201310072409A CN103187267A CN 103187267 A CN103187267 A CN 103187267A CN 201310072409X A CN201310072409X A CN 201310072409XA CN 201310072409 A CN201310072409 A CN 201310072409A CN 103187267 A CN103187267 A CN 103187267A
Authority
CN
China
Prior art keywords
energy
gas
hard mask
layer
computer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310072409XA
Other languages
English (en)
Inventor
赵尚俊
汤姆·崔
韩太竣
姜肖恩
波罗跋枷罗·卡帕拉达苏
严必明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103187267A publication Critical patent/CN103187267A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

提供一种在硅层中刻蚀特征的方法。在该硅层上方形成硬掩模层。在该硬掩模层上方形成光刻胶层。打开该硬掩模层。剥离该光刻胶层,通过提供剥离气体;通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体,其中该低频RF能量的功率小于50瓦;以及当剥离该光刻胶层后,停止该剥离气体。该打开该硬掩模层和该剥离该光刻胶层是在同一个室中执行的。

Description

活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
本申请是申请号为200880017184.5,申请日为2008年5月19日,申请人为朗姆研究公司,发明创造名称为“活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离”的发明专利申请的分案申请。 
背景技术
本发明涉及在半导体器件生产过程中穿过硬掩模刻蚀硅层。更准确地说,本发明涉及打开硬掩模之后光刻胶的原地剥离。 
在半导体晶片处理过程中,该半导体器件的特征可以是由图案化的硬掩模限定的。使用光刻胶并通过对该硬掩模的等离子体刻蚀,可以将该半导体器件特征转移到该硬掩模中。在特征被转移到该硬掩模中之后,该硬掩模上剩余的光刻胶可以被除去。 
传统上,使用独立的设备来打开该硬掩模以及除去该光刻胶。在打开该硬掩模之后,晶片被从该等离子体反应器中除去并被放入单独的灰化设备以剥离剩余的光刻胶。在这种情况下,需要另一个昂贵的设备来单独进行光刻胶的剥离,这要求额外的制造空间和晶片处理时间。从等离子体室中除去晶片可能导致晶片与环境接触,这会导致被刻蚀表面的改变并导致剥离工艺之前晶片表面状态的不一致。 
发明内容
为了完成前述并相应于本发明的目的,提供一种在硅层中刻蚀特征的方法。在该硅层上方形成硬掩模层。在该硬掩模层上方形成光刻胶层。打开该硬掩模层。通过提供剥离气体剥离该光刻胶层;通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体,其中该低频RF能量的功率小于50瓦;以及当剥离该光刻胶层后,停止该剥离气体。 
在本发明的另一个实施方式中,提供一种在硅层中刻蚀特征的方法。在该硅层上方形成硬掩模层。在该硬掩模层上方形成底部防反射涂覆层。在该底部防反射涂覆层上方形成光刻胶层。打开该底部防反射涂覆层。打开该硬掩模层。通过提供剥离气体剥离该底部防反射涂覆层和该光刻胶层;通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体,其中该低频RF能量的功率小于50瓦;以及当剥离该光刻胶层后,停止该剥离气体。 
在本发明的又一个实施方式中,提供一种用于在硅层中刻蚀特征的装置,其中该硅层在硬掩模层下,该硬掩模层在光刻胶层下。该装置包含等离子体处理室,气体源和控制器。 
该等离子体处理室包含形成等离子体处理室外壳的室壁;用于在该等离子体处理室外壳中支撑基片的基片支架;用于调节该等离子体处理室外壳中的压强的压强调节器;用于向该等离子体处理室外壳提供能量以维持等离子体的至少一个电极;电气连接于该至少一个电极的至少一个RF电源;用于向该等离子体处理室外壳中提供气体的气体入口;以及用于从该等离子体处理室外壳排出气体的气体出口。 
该气体源与该等离子体处理室的该气体入口流体连通,并包含打开气体源;以及剥离气体源。 
该控制器可控地连接于该气体源和该等离子体处理室的该至少一个RF电源,并包含至少一个处理器;和计算机可读介质,该计算机可读介质包含用于打开该硬掩模层的计算机可读代码;以及用于剥离该光刻胶层的计算机可读代码,且该用于剥离该光刻胶层的计算机可读代码包含用于提供剥离气体的计算机可读代码;用于通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体的计算机可读代码,其中该低频RF能量的功率小于50瓦;以及用于当该光刻胶层被剥离后停止该剥离气体的计算机可读代码。 
下面,在本发明的具体实施方式中,并结合以下附图,对本发明的这些以及其它特征进行更加详细的描述。 
附图说明
本发明是以附图中各图中的示例的方式,而不是以限制的方式描述的,其中同类的参考标号表示类似的元件,且其中: 
图1是本发明的一个实施方式的高水平流程图。 
图2是可以用于刻蚀的等离子体处理室的示意图。 
图3A-B描绘了计算机系统,其适于实现本发明的实施方式中使用的控制器。 
图4A-H是根据本发明的一个实施方式处理过的堆栈的示意图。 
具体实施方式
现在参考附图中所示的一些优选实施方式对本发明进行详细描述。在下面的描述中,列举了许多具体细节以提供对本发明的彻底理解。然而,显然,对本领域的技术人员来说,无需这些具体细节中的一些或全部本发明仍然能够实施。在其他情况下,没有对熟知的工艺步骤和/或结构进行详细描述,以免不必要地模糊本发明。 
为了便于理解,图1是本发明的一个实施方式中使用的工艺的高水平流程图。在硅层上方形成硬掩模层(步骤100)。该硅层可以是多晶硅、晶体硅(比如硅晶片)、非晶硅或任何其它类型的硅。该硅层一般是纯净硅,其可以有掺杂物。 
在该硬掩模层上方形成底部防反射涂覆(BARC)层(步骤110)。该底部防反射涂覆层是可选的。在另一个实施方式中,不使用该底部防反射涂覆层。在该底部防反射涂覆层上方形成光刻胶层(步骤120)。该光刻胶层是由特征组成的,该特征最终会被刻蚀入该硅层。 
在处理室中放置堆栈,其包括该硅层、该硬掩模层、该底部防反射涂覆层和该光刻胶层(步骤130)。使用打开气体打开该底部防反射涂覆层(步骤140)。此工艺涉及等离子体刻蚀该底部防反射涂覆层以将该光刻胶层中限定的特征转移到该底部防反射涂覆层中。然后使用打开气体打开该硬掩模层(步骤150)。此工艺涉及等离子体刻蚀该硬掩模层以将该光刻胶层中限定的特征转移到该硬掩模层中。 
除去或剥离剩余的光刻胶层和底部防反射涂覆层(步骤140)。在一个实施方式中,在打开该硬掩模层之后马上或在短时间内,使用用氧化化学物质的低偏置功率工艺来剥离剩余的光刻胶和 底部防反射涂覆层。剥离气体可以包含O2、N2、或H2,并可以有卤素添加物。 
该打开该底部防反射涂覆层和该硬掩模层(步骤140和150)和该剥离该光刻胶层和该底部防反射涂覆层(步骤160)是在同一个等离子体室中原地执行的。然后,从该室除去具有该硅层和该打开的硬掩模层的堆栈(步骤170)。现在该硅层准备完毕,可以使用该硬掩模层图案化了。在一个实施方式中,具有该硅层和该打开的硬掩模层的堆栈被放在另一个等离子体处理室中。然后将该特征刻蚀入该硅层以限定活动区域(步骤180)。然后完全除去该硬掩模(步骤190)。 
图2是可以用于实施本发明的等离子体反应器的示意图。在本发明的一个或多个实施方式中,等离子体反应器200包含顶部中心电极206、顶部外围电极204、底部中心电极208和底部外围电极210,均在室壁250中。顶部绝缘体环207将该顶部中心电极206与该顶部外围电极204隔离。底部绝缘体环212将该底部中心电极208与该底部外围电极210隔离。也是在等离子体反应器200中,基片280被置于该底部中心电极208的顶部。可选地,该底部中心电极208结合合适的基片卡持机构(也就说,静电、机械夹持等)以固定该基片280。 
气体源224连接于该等离子体反应器200并向该等离子体反应器200的等离子体区域240中供应打开和剥离气体。在这个实施例中,该气体源224包含打开气体源264和剥离气体源268。该打开气体源264供应用于打开该硬掩模层的气体。该剥离气体源268供应用于在打开该掩模层之后剥离或除去该硬掩模层上剩余的光刻胶层的气体。 
高频RF源252和低频RF源254通过控制器235电气连接于该等离子体反应器200以向该电极204、206、208和210提供能量。该高频RF源252产生高频RF能量并将该高频RF能量供应到该等离子体反应器200。优选地,该高频RF能量具有大于或等于20兆赫(MHz)的频率。更优选地,该高频RF能量具有大于或等于27兆赫的频率。再优选地,该高频RF能量具有大于或等于60兆赫的频率。 
该低频RF源254产生低频RF能量并将该低频RF能量供应到该等离子体反应器200。优选地,该低频RF能量具有小于或等于20兆赫(MHz)的频率。更优选地,该低频RF能量具有小于或等于10兆赫的频率。再优选地,该低频RF能量具有小于或等于2兆赫的频率。 
该控制器235连接于该气体源224、该高频RF源252和该低频RF源254。该控制器235控制该打开和剥离气体向该等离子体反应器200内的流动,以及该射频能量从该高频RF源252、该低频RF源254的产生、该电极204、206、208和210,以及该排气泵220。 
在此实施例中,提供约束环202以提供对该等离子体和气体的约束,该等离子体和气体从该约束环之间穿过并由该排气泵220排出。 
图3A和3B描绘了计算机系统,其适于实现在本发明的一个或多个实施方式中使用的该控制器235。图3A显示了计算机系统300的一种可能的物理形式。当然,计算机系统也可能具有许多种物理形式,从集成电路、印刷电路板和小型手持装置到大型超级计算机。计算机系统300包括监视器302、显示器304、外壳306、磁盘驱动308、键盘310和鼠标312。磁盘314是计算机可读介质,用于向该计算机系统300传送数据和从该计算机系统300接收数据。 
图3B是计算机系统300的方框图的一个实施例。各种子系统连接于系统总线320。一个或多个处理器322(也称为中央处理单元,或CPU)耦合于存储器件,包括存储器324。存储器324包括随机存取存储器(RAM)和只读存贮器(ROM)。正如本领域中熟知的那样,ROM能够向该CPU单向传送数据和指令,而RAM通常可以用于以双向方式传送数据和指令。这两种类型的存储器可以包括下面所述的任何合适的计算机可读介质。固定磁盘326也双向耦合于CPU 322;它提供额外的数据存储容量而且还包括任何下述的的计算机可读介质。固定磁盘326可被用来存储程序、数据等等而且通常是比主存储器更慢的第二级存储介质(比如硬盘)。应当理解,固定磁盘326中保存的信息,在适当的情况下,可以作为虚拟存储器(virtual memory)以标准方式合并在存储器324中。可移除磁盘314可以采取下述的任何计算机可读介质的形式。 
CPU 322也耦合于各种输入/输出设备,比如显示器304、键盘310、鼠标312和扬声器330。通常,输入输出设备可能是下述任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸屏、传感器读卡器、磁带或纸带阅读器、书写板、触摸笔、语音或笔迹识别器、生物特征阅读器,或其它的电脑。可选地,CPU322使用网络接口340耦合于另一台计算机或电信网络。使用这种网络接口,可以想象,在执行上述方法步骤的过程中,该CPU可以从网络接收信息,或者可以输出信息到网络。而且,本发明的方法实施方式可以在CPU 322上单独执行或者通过网络(比如因特网)与共享部分处理的远程CPU一起执行。 
另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,该计算机可读介质具有用以执行各种由计算机完成的操作的计算机代码。该介质和计算机代码可以是为本发明 的目的专门设计和制造的,也可以是对具有计算机软件领域的技术的人员来说熟知并可以获得的。计算机可读介质的实施例包括但不限于:磁介质比如硬盘、软盘和磁带;光介质比如CD-ROM和全息器件;磁光(magneto-optical)介质,比如光软盘(floptical disks);和被专门配置为存储和执行程序代码的硬件装置,比如专用集成电路(ASIC)、可编程逻辑器件(PLD)和ROM和RAM器件。计算机代码的实施例包括比如由编译器产生的机器码和包含由计算机使用解释器执行的更高级别代码的文件。计算机可读介质还可以是由嵌入载波中的计算机数据信号传输并表示由处理器执行的指令序列的计算机代码。 
为了便于理解本发明,图4A是具有硅层410的堆栈400的横截面示意图。最终,特征要被刻蚀入此硅层中以限定活动区域。该硅层410是大体纯净的硅,而不是二氧化硅或氮化硅。在此实施例中,该硅层410是硅晶片或硅基片。在该硅基片410上形成硬掩模层420(步骤100)。在此实施方式中,该硬掩模层420可以是以硅为基底的。例如,该硬掩模层420可以是电介质材料,比如SiO2、SiON或Si3N4。该硬掩模层420可包含特定材料的单一层或不同材料的多个层并具有一定厚度。例如,在图4A所示的实施方式中,该硬掩模层420包含约1000埃厚的SiO2层422,其在约1000埃厚的Si3N4层421上方。 
如图4B所示,在该硬掩模层420上形成底部防反射涂覆层430(步骤110)。通常,在要使用光刻法在半导体结构上图案化的材料层(在这种情况下是该硬掩模层420)和上面的光刻胶层(参看步骤120和下面的图4C)之间形成底部防反射涂覆层430,以抑制从该材料层表面到该光刻胶层中的反射,其可能损害该图案化。该底部防反射涂覆层430是可选的。在另一个实施方式中,不使用该 底部防反射涂覆层。该底部防反射涂覆层430(当存在时)具有一定的厚度。例如,在图4B所示的实施方式中,该底部防反射涂覆层430具有约900埃的厚度。 
如图4C所示,在该底部防反射涂覆层430上方形成具有特征的光刻胶层440(步骤120)。光刻胶是一种对光敏感的材料。使用光将特征或图案从光掩模转移到光刻胶。在一个实施方式中,该光刻胶是一种聚合物材料。 
在该等离子体反应器200中放置该堆栈400,其包括该硅基片410、该硬掩模层420、该底部防反射涂覆层430和该光刻胶层440(步骤130)。首先,打开该底部防反射涂覆层430(步骤140),如图4D所示。该光刻胶层440中限定的特征或图案被转移到该底部防反射涂覆层430。在图4D所示的实施方式中,在打开该底部防反射涂覆层430之后,在该特征的底部,在SiO2下垫层422中形成细小凹陷423,该下垫层422是该硬掩模层420的一部分。接下来,打开该硬掩模层420(步骤150),如图4E所示。该光刻胶层440中限定的特征被继续转移到该硬掩模层420。如果该硬掩模层420包含有不同材料形成的多个层421、422的话,如图4E所示的实施方式中一样,然后将该特征转移到该硬掩模层420的所有的层421、422。 
在此实施方式中,为了打开该硬掩模层420(步骤150),将打开气体流入该等离子体反应器200。该打开气体可包含CF4、CHF3、O2或Ar。高频RF源252向该等离子体反应器200供应频率大于或等于20兆赫的RF能量。优选地,该高频RF能量具有大于或等于27兆赫的频率。优选地,该高频RF能量供应约150瓦到800瓦之间的RF能量。低频RF源254向该等离子体反应器200供应频率小于或等于20兆赫的RF能量。优选地,该低频RF能量具有约2兆赫的频率。优选地,该低频RF能量供应约300瓦到1200瓦之间的能量。使该打 开气体形成等离子体。使用该等离子体打开该硬掩模430。一旦该特征在该硬掩模层430中被打开之后,停止该打开气体的流入。 
例如,下面是在图4E所示的实施方式中使用的打开该硬掩模层420(步骤150)的具体配方:压强为120毫托;该高频RF电源252供应150瓦,频率为27兆赫的RF能量;该低频RF电源254供应750瓦,频率为2兆赫的RF能量;而该打开气体流包含600sccm的Ar、110sccm的CF4、20sccm的CHF3和16sccm的O2。 
剥离该硬掩模层420上剩余的光刻胶层440和底部防反射涂覆层430(步骤160)。图4F是在除去该光刻胶层440和该底部防反射涂覆层430之后,该堆栈400的横截面示意图。因此,只剩下该硅基片410和该硬掩模层420。在此实施方式中,将剥离气体流入该等离子体反应器200。在一个实施方式中,该剥离气体至少包含O2、N2或H2。例如,该剥离气体可至少包含NH3、O2和CO或CO2。高频RF源252向该等离子体反应器200供应高频RF能量。优选地,该高频RF能量具有大于或等于20兆赫的频率。更优选地,该高频RF能量具有大于或等于27兆赫的频率。还优选地,该高频RF能量具有大于或等于60兆赫的频率。优选地,该高频RF能量供应约200瓦到800瓦之间的RF能量。更优选地,该高频RF能量供应约300瓦的RF能量。低频RF源254向该等离子体反应器200供应低频RF能量。优选地,该低频RF能量供应0到600瓦之间的RF能量。更优选地,只有少量地,比如小于或等于50瓦的低频RF能量被供应到该等离子体反应器200。更优选地,没有低频RF能量被供应到该等离子体反应器200。 
使该剥离气体形成等离子体,其用于将剩余的光刻胶层440和底部防反射涂覆层430剥离掉。该剥离该光刻胶层440和该底部防反射涂覆层430是在原地进行的。该光刻胶层440和该底部防反射涂覆层430被剥离掉而该晶片留在该底部防反射涂覆层430和该 硬掩模层420被打开的同一个等离子体室中并在该硬掩模层420被打开后很短的时间内。一旦该光刻胶层440和底部防反射涂覆层430被完全除去后,停止该剥离气体的流入。 
例如,以下是在图4F所示的实施方式中使用的剥离该光刻胶层440和该底部防反射涂覆层430(步骤160)的具体配方:压强为300毫托;该高频RF电源252供应300瓦,频率为27兆赫的RF能量;而该剥离气体流包含1000sccm的O2,而气体输入的中心比重为50%(气体通常是经由两个不同的路径:中心和边缘被送入该处理室的)。 
从该等离子体反应器200除去该堆栈400,其包括该硅基片410和该打开的硬掩模层420(步骤170)。穿过该打开的硬掩模层420将特征411刻蚀入该硅基片(步骤180)。用于刻蚀的配方依赖于待刻蚀材料的类型。在此实施方式中,因为该基片410是硅,所以应当选择适于刻蚀硅材料的合适的配方。使刻蚀气体流入该刻蚀室并向该刻蚀室提供一种或多种类型的RF能量以使该刻蚀气体形成等离子体,其用于刻蚀该硅基片410。一旦刻蚀完成后,停止该刻蚀气体的流入。图4G是在特征411已经被刻蚀入该硅基片410以限定活动区域之后,该堆栈400的横截面示意图。 
除去剩余的硬掩模层420(步骤190)。可以使用普通的有机层剥离工艺,比如可以使用磷酸(H3PO4)。图4H是在该硬掩模层420已经被剥离之后,该堆栈400的横截面示意图。只留下了具有特征的硅基片410。 
在上述实施例中,打开该底部防反射涂覆层430和该硬掩模层420以及在打开该硬掩模层420之后剥离该光刻胶层440和该底部防反射涂覆层430是在同一个等离子体处理室中原地进行的。刻 蚀该硅基片410和在刻蚀该硅基片410之后剥离该硬掩模层420是在其它地方在独立的设备中进行的。替代地,在另一个实施例中,所有五个步骤,打开该底部防反射涂覆层430(步骤140)、打开该硬掩模层420(步骤150)、剥离剩余的光刻胶层440和底部防反射涂覆层430(步骤160)、刻蚀该硅基片410(步骤180)和剥离该硬掩模层420(步骤190)可以在原地完成。 
本发明适用于各种类型的刻蚀工艺,比如通孔刻蚀和沟槽刻蚀。本发明有许多益处。例如,已经发现,在打开该硬掩模之后剥离该光刻胶层的过程中,不使用低频RF能量或使用少量的低频RF能量防止该硬掩模的上角倒圆(rounding)或倒角(faceting)。已经发现,硬掩模的倒角的减少可以减少刻蚀特征的小刻面。更高频率的RF等离子体灰化提供了从该硬掩模层中的刻蚀特征的侧壁除去聚合物淀积的更高的效率。 
还发现,此实施例延长了各清洁之间的平均时间。在每次硬掩模打开工艺之后,使用氧化化学物质对室进行无晶片清洁以保持该反应器内部的清洁。在清洁过程中,HMO工艺之后在反应器壁上积累的含氟聚合物淀积被除去。尽管无晶片清洁是在每个基片之后执行的,然而掩藏该反应器的部件并使用流体溶剂从反应器部件表面洗去污染物的定期的湿法清洁也是需要的。该光刻胶层的原地剥离延长了湿法清洁之间的平均时间,因为剥离和清洁两者使用同样的氧化化学物质。因此,减少了由从反应器壁表面剥落的聚合物薄片引起的微粒问题的可能性。 
尽管本发明是依照几个优选实施方式进行描述的,然而,存在落入本发明范围的变更、置换、改变和各种等同替换。还应当注意,有许多实现本发明的方法和装置的替代方式。因此,所附权 利要求意在被解释为包括所有这些变更、置换和各种等同替换均落入本发明的真实精神和范围。 

Claims (20)

1.一种用在硅层中刻蚀特征的方法制造的半导体器件,包括:
在该硅层上方形成硬掩模层,其中该硬掩模层是以硅为基底的,其中该形成硬掩模层包含:
在该硅层上方形成第一层,其中该第一层是Si3N4;以及
在该第一层上方形成第二层,其中该第二层是SiO2;在该硬掩模层上方形成光刻胶层;
打开该硬掩模层;以及
剥离该光刻胶层,包含
提供剥离气体;
通过提供频率大于或等于27MHz的高频RF能量和频率小于20MHz的低频RF能量用该剥离气体形成等离子体,其中该低频RF能量的功率小于50瓦;以及
当剥离该光刻胶层后,停止该剥离气体。
2.根据权利要求1所述的半导体器件,其中该低频RF能量具有0瓦的功率。
3.根据权利要求2所述的半导体器件,其中该高频RF能量具有在约200瓦到800瓦之间的功率。
4.根据权利要求3所述的半导体器件,其中该高频RF能量具有在约300瓦的功率。
5.根据权利要求1所述的半导体器件,其中该剥离气体包含从由O2、N2和H2组成的组中选出来的至少一种气体。
6.根据权利要求5所述的半导体器件,其中该剥离气体进一步包含卤素。
7.根据权利要求3所述的半导体器件,其中该打开该硬掩模层包含
提供打开气体;
通过提供高频RF能量和低频RF能量使用该打开气体形成等离子体;以及
当该硬掩模层被打开后停止该打开气体。
8.根据权利要求7所述的半导体器件,其中在该硬掩模层上方和该光刻胶层下是底部防反射涂覆层,进一步包含
打开该底部防反射涂覆层;以及
剥离该底部防反射涂覆层。
9.根据权利要求8所述的半导体器件,其中该剥离该底部防反射涂覆层包含:
提供剥离气体;
通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体,其中该低频RF能量的功率小于50瓦;以及
当该底部防反射涂覆层被剥离后停止该剥离气体。
10.一种用于在硅层中刻蚀特征的装置,其中该硅层在硬掩模层下,该硬掩模层在光刻胶层下,包含:
等离子体处理室,包含:
形成等离子体处理室外壳的室壁;
用于在该等离子体处理室外壳中支撑基片的基片支架;
用于调节该等离子体处理室外壳中的压强的压强调节器;
用于向该等离子体处理室外壳提供能量以维持等离子体的至少一个电极;
电气连接于该至少一个电极的至少一个RF电源;
用于向该等离子体处理室外壳中提供气体的气体入口;以及
用于从该等离子体处理室外壳排出气体的气体出口;
与该气体入口流体连通的气体源,包含:
打开气体源;以及
剥离气体源;以及
可控地连接于该气体源和该至少一个RF电源的控制器,包含:
至少一个处理器;以及
计算机可读介质,包含:
用于打开该硬掩模层的计算机可读代码;以及
用于剥离该光刻胶层的计算机可读代码,包含
用于提供剥离气体的计算机可读代码;
用于通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体的计算机可读代码,其中该低频RF能量的功率小于50瓦;以及
用于当该光刻胶层被剥离后停止该剥离气体的计算机可读代码。
11.根据权利要求10所述的装置,其中该硬掩模包含在该硅层上方的Si3N4层以及在该Si3N4层上方的SiO2层。
12.根据权利要求11所述的装置,其中该低频RF能量具有0瓦的功率。
13.根据权利要求12所述的装置,其中该高频RF能量具有在约200瓦到800瓦之间的功率。
14.根据权利要求13所述的装置,其中该高频RF能量具有在约300瓦的功率。
15.根据权利要求10所述的装置,其中该剥离气体源包含O2、N2或H2气体源中至少一种以及其中该剥离气体包含从由O2、N2和H2组成的组中选出来的至少一种气体。
16.根据权利要求15所述的装置,其中该剥离气体源进一步包含卤素源以及其中该剥离气体进一步包含卤素。
17.根据权利要求13所述的装置,其中用于打开该硬掩模层的计算机可读代码包含:
从打开气体源提供打开气体的计算机可读代码;
通过提供高频RF能量和低频RF能量使用该打开气体形成等离子体的计算机可读代码;以及
当该硬掩模层被打开后停止该打开气体的计算机可读代码。
18.根据权利要求17所述的装置,其中在该硬掩模层上方和该光刻胶层下是底部防反射涂覆层,进一步包含
打开该底部防反射涂覆层的计算机可读代码;以及
剥离该底部防反射涂覆层的计算机可读代码。
19.根据权利要求18所述的装置,其中该剥离该底部防反射涂覆层的计算机可读代码包含:
用于提供剥离气体的计算机可读代码;
用于通过提供高频RF能量和低频RF能量用该剥离气体形成等离子体的计算机可读代码,其中该低频RF能量的功率小于50瓦;以及
用于当该底部防反射涂覆层被剥离后停止该剥离气体的计算机可读代码。
20.根据权利要求18所述的装置,进一步包含:
用于刻蚀该硅层的计算机可读代码;以及
用于剥离该硬掩模层的计算机可读代码。
CN201310072409XA 2007-05-24 2008-05-19 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离 Pending CN103187267A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/807,011 2007-05-24
US11/807,011 US8283255B2 (en) 2007-05-24 2007-05-24 In-situ photoresist strip during plasma etching of active hard mask

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200880017184.5A Division CN101715603B (zh) 2007-05-24 2008-05-19 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离

Publications (1)

Publication Number Publication Date
CN103187267A true CN103187267A (zh) 2013-07-03

Family

ID=40072819

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310072409XA Pending CN103187267A (zh) 2007-05-24 2008-05-19 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
CN200880017184.5A Active CN101715603B (zh) 2007-05-24 2008-05-19 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200880017184.5A Active CN101715603B (zh) 2007-05-24 2008-05-19 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离

Country Status (5)

Country Link
US (2) US8283255B2 (zh)
KR (1) KR101468249B1 (zh)
CN (2) CN103187267A (zh)
TW (1) TWI467651B (zh)
WO (1) WO2008147756A2 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
DE102010003451B4 (de) * 2010-03-30 2013-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US8642475B2 (en) 2010-12-21 2014-02-04 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with reduced polysilicon residue and method of manufacture thereof
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
US9209036B2 (en) * 2014-02-24 2015-12-08 International Business Machines Corporation Method for controlling the profile of an etched metallic layer
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN106298498B (zh) * 2015-06-11 2018-12-25 中微半导体设备(上海)有限公司 刻蚀形成硅通孔的方法与硅通孔刻蚀装置
US9691590B2 (en) * 2015-06-29 2017-06-27 Lam Research Corporation Selective removal of boron doped carbon hard mask layers
CN108281349B (zh) * 2018-01-31 2020-04-10 北京航天控制仪器研究所 一种实现高陡直度深硅刻蚀结构的光刻工艺方法
TW202403845A (zh) * 2022-06-06 2024-01-16 美商應用材料股份有限公司 用於dram電容器模具圖案化之碳化釕

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037266A (en) * 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
CN1502119A (zh) * 2001-02-12 2004-06-02 ��ķ�о����޹�˾ 使用碳氢化合物添加剂来消除在蚀刻有机低k电介质期间的微掩蔽
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
CN1633701A (zh) * 2001-02-12 2005-06-29 兰姆研究有限公司 用于有机硅酸盐玻璃低k介质腐蚀应用的用于o2和nh3的蚀刻后光刻胶剥除

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076935A1 (en) 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6069091A (en) * 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
US6362110B1 (en) 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
EP1195801B1 (en) 2000-09-29 2014-01-29 Imec Process for plasma treating an isolation layer with low permittivity
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
JP2003303808A (ja) 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
US20040018739A1 (en) 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6852472B2 (en) * 2002-10-17 2005-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Polysilicon hard mask etch defect particle removal
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7049052B2 (en) * 2003-05-09 2006-05-23 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
KR100519675B1 (ko) * 2003-05-16 2005-10-10 어댑티브프라즈마테크놀로지 주식회사 유도 결합 플라즈마 장치를 사용하여 높은 포토레지스트선택비를 구현할 수 있는 식각 방법
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US7202177B2 (en) 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US7211518B2 (en) * 2004-04-19 2007-05-01 Lam Research Corporation Waferless automatic cleaning after barrier removal
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7307025B1 (en) * 2005-04-12 2007-12-11 Lam Research Corporation Lag control
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
KR20070002797A (ko) 2005-06-30 2007-01-05 주식회사 하이닉스반도체 깊은 콘택을 갖는 반도체소자의 제조 방법
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7479458B1 (en) * 2005-12-15 2009-01-20 Lam Research Corporation Methods and apparatus for the optimization of highly selective process gases
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
US7785753B2 (en) * 2006-05-17 2010-08-31 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing
US7851369B2 (en) * 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US7682979B2 (en) * 2006-06-29 2010-03-23 Lam Research Corporation Phase change alloy etch
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7407597B2 (en) * 2006-09-14 2008-08-05 Lam Research Corporation Line end shortening reduction during etch
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
KR20150018592A (ko) * 2007-05-03 2015-02-23 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
CN101903989B (zh) * 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
KR101573954B1 (ko) * 2007-12-21 2015-12-02 램 리써치 코포레이션 포토레지스트 더블 패터닝
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
SG174500A1 (en) * 2009-04-09 2011-10-28 Lam Res Corp Method for low-k dielectric etch with reduced damage
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8357263B2 (en) * 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US8329051B2 (en) * 2010-12-14 2012-12-11 Lam Research Corporation Method for forming stair-step structures
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037266A (en) * 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
CN1502119A (zh) * 2001-02-12 2004-06-02 ��ķ�о����޹�˾ 使用碳氢化合物添加剂来消除在蚀刻有机低k电介质期间的微掩蔽
CN1633701A (zh) * 2001-02-12 2005-06-29 兰姆研究有限公司 用于有机硅酸盐玻璃低k介质腐蚀应用的用于o2和nh3的蚀刻后光刻胶剥除
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material

Also Published As

Publication number Publication date
WO2008147756A4 (en) 2009-07-09
TWI467651B (zh) 2015-01-01
KR20100028050A (ko) 2010-03-11
WO2008147756A2 (en) 2008-12-04
US8283255B2 (en) 2012-10-09
KR101468249B1 (ko) 2014-12-03
WO2008147756A3 (en) 2009-01-29
US8912633B2 (en) 2014-12-16
CN101715603B (zh) 2013-04-10
TW200913050A (en) 2009-03-16
CN101715603A (zh) 2010-05-26
US20080293249A1 (en) 2008-11-27
US20130001754A1 (en) 2013-01-03

Similar Documents

Publication Publication Date Title
CN101715603B (zh) 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
CN100580891C (zh) 晶片斜面聚合物去除
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US7491647B2 (en) Etch with striation control
US7772122B2 (en) Sidewall forming processes
CN101061436B (zh) 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法
US7785484B2 (en) Mask trimming with ARL etch
CN101779276B (zh) 掩模修整
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
CN101606231B (zh) 超高纵横比电介质刻蚀
CN101584027B (zh) 减少刻蚀过程中的线路末端缩短
CN101903977A (zh) 光刻胶两次图案化
CN101421830A (zh) 无限选择性的光刻胶掩膜蚀刻
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
CN102646585A (zh) 对伪硬掩膜的扭曲控制
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness
JP2007528610A (ja) ラインエッジラフネス制御
US20090291562A1 (en) Helium descumming
JP2018182315A (ja) パターン崩壊を防ぐためのエッチング後処理
KR20070046095A (ko) 유전층 에칭 방법
CN101558479A (zh) 用于氢氟碳蚀刻的粘着层
CN107785253A (zh) 利用侧边溅射的线边缘粗糙表面改进

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20130703

RJ01 Rejection of invention patent application after publication