KR101127714B1 - 플라즈마 처리 장치 및 플라즈마 애싱 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 애싱 방법 Download PDF

Info

Publication number
KR101127714B1
KR101127714B1 KR1020057022172A KR20057022172A KR101127714B1 KR 101127714 B1 KR101127714 B1 KR 101127714B1 KR 1020057022172 A KR1020057022172 A KR 1020057022172A KR 20057022172 A KR20057022172 A KR 20057022172A KR 101127714 B1 KR101127714 B1 KR 101127714B1
Authority
KR
South Korea
Prior art keywords
plasma
baffle plate
gas
delete delete
exhaust pipe
Prior art date
Application number
KR1020057022172A
Other languages
English (en)
Other versions
KR20060003121A (ko
Inventor
알란 베크넬
토마스 버클리
데이비드 페리스
리챠드 쥬니어 핀그레
팔라니쿠마란 사크티벨
아셈 스리바스타바
카를로 왈드프라이드
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20060003121A publication Critical patent/KR20060003121A/ko
Application granted granted Critical
Publication of KR101127714B1 publication Critical patent/KR101127714B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 플라즈마 장치, 상기 플라즈마 장치의 각종 구성요소, 및 탄소 및/또는 산소 함유 저 k 유전층(들)을 지닌 기판으로부터 포토레지스트 재료 및 에칭 후 잔류물들을 효율적으로 제거하는 무산소 및 무질소 공정에 관한 것이다.
처리실, 저 k 유전층, 가스 정화기, 플라즈마 애싱 장치, 포토레지스트

Description

플라즈마 처리 장치 및 플라즈마 애싱 방법{PLASMA TREATMENT DEVICE AND PLASMA ASHING METHOD}
본 발명은 반도체 장치 및 공정에 관한 것이며, 특히, 저 k 유전체 재료를 포함하는 기판으로부터 유기 재료를 애싱하는데 적합한 플라즈마 매개 공정(plasma mediated processes)에 관한 것이다.
최근, 차세대 마이크로전자장치에 사용하기 위한 저 k 유전체 박막을 개발하는데 관심이 집중되고 있다. 집적 장치가 더욱 소형화됨에 따라서, 상호 접속부를 따른 신호 전파의 RC-지연 시간은 전체 칩 속도를 제한하는 주요 팩터들 중 하나가 된다. 구리 기술의 출현으로 인해, R은 실제 최저 한계치에 있는데, 이로 인해, C를 감소시키는데 관심이 집중되었다. 이 작업을 성취하는 한 가지 방법은 상호접속부를 둘러싸는 얇은 절연막의 평균 유전율(k)을 감소시키는 것이다. 통상적인 실리콘 이산화물 절연 재료의 유전율(k)은 약 3.9이다. 3.9 보다 아래로 유전율(k)을 낮추면, 감소된 커패시턴스를 제공하여 전체 칩 속도를 개선시킨다.
진일보한 집적 회로에 사용되는 저 k 유전체 재료는 통상, 유기 폴리머 또는 산화물을 포함하고 약 3.5 보다 작은 유전율을 갖는다. 저 k 유전체 재료는 용액으로서 기판상으로 스핀되거나 화학 증착 공정에 의해 증착될 수 있다. 중요한 저 k 막 특성은 두께 및 균일성, 유전율, 굴절율, 접착력, 화학적 저항, 열 안정성, 다공 크기 및 분포, 열 팽창 계수, 유리 전이 온도, 막 응력 및 구리 확산 계수를 포함한다.
웨이퍼 상에서 집적 회로를 제조시, 웨이퍼는 일반적으로 완성된 집적 회로를 제조하기 전 많은 공정 단계를 겪는다. 저 k 유전체 재료, 특히 탄소 함유 저 k 유전체 재료는 이들 공정 단계들 중 일부 단계에 민감할 수 있다. 예를 들어, "애싱" 단계 동안 사용되는 플라즈마는 포토레지스트 재료를 스트립할 수 있을뿐만 아니라 저-k 유전체 막의 일부를 제거할 수 있다. 애싱을 일반적으로 플라즈마 매개 스트립핑 공정이라 칭하는데, 이 공정에 의해 잔여 포토레지스트 및 에칭 후 잔류물은 플라즈마에 노출시 기판으로부터 스트립되거나 제거된다. 애싱 공정은 일반적으로 에칭 또는 임플란트 공정이 수행되는데, 이 공정에서 포토레지스트 재료가 밑에놓인 기판으로 패턴을 에칭하거나 기판의 노출된 영역으로 이온을 선택적으로 주입하기 위한 마스크로서 사용된다. 에칭 공정 또는 임플란트 공정이 완료된 후 잔여 포토레지스트 및 에칭 후 또는 임플란트 후의 임의의 잔류물은 당업자에게 일반적으로 공지된 많은 이유로 인해 부가 처리 전 제거되어야 한다. 애싱 단계는 통상, 저 k 유전체를 더욱 열화시키며, 재료를 손실시키고 또한 유전율을 증가시키는 잔류물의 트레이스를 제거하기 위하여 습식 화학적 처리보다 먼저 행해진다.
애싱 공정은 에칭 처리와 크게 상이하다는 점에 유의하는 것이 중요하다. 두 공정이 플라즈마 매개일 수 있지만, 에칭 공정은 플라즈마 화학작용이 포토레지스트 마스크 내의 개구들을 통해서 기판 표면의 부분들을 제거함으로써 기판으로 영상을 영구적으로 전달하도록 선택된다는 점에서 현저하게 상이하다. 플라즈마는 일반적으로, 저온 및 저압(밀리토르 정도)에서 고 에너지 이온 폭격하여 기판의 부분들을 제거한다. 게다가, 이온에 노출된 기판의 부분들은 일반적으로 포토레지스트 마스크의 제거 속도와 같거나 큰 속도로 제거된다. 대조적으로, 애싱 공정은 일반적으로, 에칭 동안 형성된 임의의 폴리머 또는 잔류물 및 포토레지스트 마스크를 선택적으로 제거하는 것이다. 이 애싱 플라즈마 화학작용은 에칭 화학작용보다 훨씬 덜 침투적이고 일반적으로 밑에놓인 기판의 제거 속도보다 훨씬 큰 속도로 포토레지스트 마스크 층을 제거하도록 선택된다. 게다가, 대부분의 애싱 공정은 기판을 200℃ 보다 큰 온도로 가열하여 플라즈마 반응성을 증가시키고 상대적으로 더 높은 압력(토르 정도)에서 수행된다. 따라서, 에칭 및 애싱 공정은 크게 다른 재료를 제거하는 것과 관계되고 완전히 다른 플라즈마 화학작용 및 공정을 필요로 한다. 성공적인 애싱 공정은 영상을 기판으로 영구적으로 전달하는데 사용되지 않는다. 오히려, 성공적인 애싱 공정은 밑에놓인 층, 예를 들어 저 k 유전층들에 영향을 미치거나 제거함이 없이 포토레지스트, 폴리머 및 잔류물 제거 속도로 규정된다.
애싱 플라즈마를 생성시키는 산소 및/또는 질소 및/또는 불소 함유 가스원들은 포토레지스트 제거 공정 동안 저 k 유전체 저하에 크게 기여한다는 것이 연구들이 보여주고 있다. 이들 가스원들 중 하나 이상의 가스원들을 함유하는 가스 혼합물은 기판으로부터 포토레지스트를 효율적으로 애싱하지만, 이들 가스원들의 사용은 저 k 유전체를 함유하는 기판에 나쁜 영향을 미친다 것이 입증되었다. 예를 들어, 산소-함유 플라즈마는 플라즈마 처리 동안 저 k 유전체의 밑에놓인 층의 유전율을 상승시키는 것으로 공지되어 있다. 유전율의 증가는 특히 상호접속 커패시턴스에 영향을 미치는데, 이는 장치 수행성능에 직접 영향을 미친다. 게다가, 산소-함유 플라즈마의 사용은 일반적으로, 구리 금속층을 사용하는 진일보한 장치 제조에 덜 바람직한데, 그 이유는 구리 금속이 쉽게 산화되기 때문이다. 때때로, 산소 함유 플라즈마에 의해 초래되는 손상은 플라즈마 처리 후 기판의 계측학 검사 동안 쉽게 검출되지 않는다. 그러나, 탄소 및/또는 수소-함유 저 k 유전체 재료의 부분들을 제거하는 플라즈마 애싱 후 통상적으로 사용될 수 있는 다음 습식 세정 공정에 의해 이 손상은 쉽게 입증되고 관찰될 수 있다. 유전체 재료의 제거된 부분들은 전체 장치 수율을 종종 받아들일 수 없고 이 전체 장치 수율에 영향을 미치는 피쳐(feature)의 임계 치수(CD)의 변화 요인이다. 게다가, 습식 세정 공정이 포함되지 않은 경우조차도, 유전체 재료의 전기 및 기계적 특성은 무산소 플라즈마에 노출되어 변경됨으로써, 동작 수행성능에 영향을 미친다. 탄소는 산소 함유 플라즈마 노출 동안 유전체 재료로부터 고갈되는 것으로 간주된다.
이상적으로, 애싱 플라즈마는 밑에놓인 저 k 유전층에 영향을 미치지 않고 포토레지스트 층만을 제거하는 것이 바람직하다. SiO2와 같은 전통적인 유전체들(더 높은 k 값들)의 사용은 이들 가스원으로 인해 높은 선택도를 제공하고 초기 장치 생성에 적합하였다. 그러나, 저 k 유전체에 대한 손상을 최소화하기 위하여, 산소 및 질소를 포함하지 않은 플라즈마 처리가 개발되었다. 한 가지 이와 같은 공정은 헬륨 및 산소를 포함하는 가스 혼합물로부터 플라즈마를 생성시켜 상이한 제거 메커니즘을 초래한다. 헬륨 및 산소로부터 형성된 플라즈마와 같이 산소 및 질소를 포함하지 않은 플라즈마는 덜 침투적이고 통상적으로 포토레지스트와 완전하게 반응하지 않는다. 오히려, 플라즈마가 포토레지스트의 부분을 가령 승화에 의해 제거하는 것으로 여겨진다. 제거 메커니즘에 따라서, 기판으로부터 포토레지스트 재료를 제거하는데 효율적이지만, 플라즈마 노출은 처리실 내 그리고 이 플라즈마 처리실로부터 아래의 영역, 가령 배기 라인에 승화되거나 제거된 포토레지스트 및 부산물의 큰 바디(large bodies) 및 임의의 성분을 그 내에 침착시키는 경향이 있다. 애싱 재료의 축적은 MTBC(Mena-Time-Between-Clean)을 단축시키고 진공 하드웨어를 빈번하게 재구축/대체시켜, 처리량의 손실 및 소유자의 비용을 증가시킨다. 게다가, 기판의 평면 위에 놓이는 처리실 내 포토레지스트 재료의 침착이 기판상에서 미립자 오염을 초래함으로써, 장치 수율에 더욱 영향을 미친다.
산소 및 질소를 포함하지 않은 플라즈마로 인한 부가적인 문제는 플라즈마 노출의 불균일성이다. 이들 플라즈마가 덜 침투적이기 때문에, 불균일성은 중요한 문제가 된다. 일부 다운스트림 플라즈마 애셔는 플라즈마를 생성시키는 협 직경의 오리피스 플라즈마 관을 갖는다. 기판의 직경은 일반적으로, 플라즈마 관 오리피스의 직경보다 훨씬 크다. 이와 같이, 배플 플레이트(baffle plates)는 통상적으로, 플라즈마 관 출구 근처에 위치되어, 플라즈마 내의 종(species)이 기판에 걸쳐서 균일하게 분산되도록 처리실에 진입할때 플라즈마를 편향시킨다. 그러나, 덜 침투적인 플라즈마는 애싱 효율을 저하시키는 것으로 밝혀졌는데, 그 이유는 반응 종이 배플 플레이트의 중앙 지점으로부터 외부 에지로 분산되어 핫 스팟, 즉 불균일한 영역을 생성시키기 때문이다. 예를 들어, 수소 래디컬(hydrogen radicals)이 축방향 플로우 반응기에서 배플 플레이트 상의 최중앙 충돌 지점으로부터 배플 플레이트의 외부 에지로 이동하기 때문에, 플라즈마 내에서 생성된 수소 래디컬이 애싱 효율을 감소시킨다는 것이 발견되었다. 웨이퍼의 직경이 플라즈마 관의 직경에 필적할 수 있도록 챔버를 설계에서, 래디컬의 불균일성은 다른 방법들로 완화될 수 있다.
산소 및 질소를 포함하지 않은 플라즈마가 지닌 또 다른 문제는 엔드포인트 검출과 관련된다. 전통적인 엔드포인트 검출 방법 및 장치는 이들 유형의 플라즈마에 사용하는데 적합하지 않다. 예를 들어, 수소 및 헬륨 가스 혼합물로부터 형성된 플라즈마의 경우에서처럼, 엔드포인트 검출에 적합한 신호를 생성시키는데 충분할 정도로 광학적으로 여기된 종이 방출되지 않는다.
따라서, 저 k 유전체에 사용하기 위한 산소 및 질소를 포함하지 않은 플라즈마를 생성시키는 개선된 공정 및 장치가 여전히 필요로 된다.
기판을 처리하기 위한 축방향 플로우 다운스트림 플라즈마 처리 장치가 본원에 서술되어 있는데, 이 장치는 가스원; 상기 가스원과 유체 연통((fluid communication))하는 플라즈마 생성부(plasma generating component)로서, 플라즈마 관 및 상기 플라즈마 관에 결합되어 상기 가스원으로부터 상기 플라즈마 관 내에서 플라즈마를 생성시키는 플라즈마 생성기를 포함하는 플라즈마 생성부; 처리실 입구 주위에서 배플 플레이트 어셈블리를 포함하는 상기 플라즈마 관과 유체 연통하는 처리실로서, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하며, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작게 되어 상기 처리실의 상부벽 및 하부 배플 플레이트 간에 플리넘(plenum)을 형성하며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치된 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대하고, 상기 배플 플레이트 어셈블리는 상기 기판과 대체로 평행하게 위치되는, 처리실; 및, 상기 처리실의 하부벽 중앙에 위치되는 배기관을 조합하여 포함한다.
다른 실시예에서, 기판을 처리하는 축방향 플로우 다운스트림 플라즈마 처리 장치는: 가스원; 상기 가스원과 유체 연통하는 플라즈마 생성부로서, 플라즈마 관 및 상기 플라즈마 관에 결합되어 상기 가스원으로부터 상기 플라즈마 관 내에서 플라즈마를 생성시키는 플라즈마 생성기를 포함하는 플라즈마 생성부; 처리실 입구 주위에서 배플 플레이트 어셈블리를 포함하는 상기 플라즈마 관과 유체 연통하는 처리실로서, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하며, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작게 되어 상기 처리실의 상부벽 및 하부 배플 플레이트 간에 플리넘(plenum)을 형성하며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대하는 처리실; 및, 상기 처리실의 하부벽 중앙에 위치되는 배기관을 조합하여 포함한다.
또 다른 실시예에서, 탄소 및/또는 수소 함유 저 k 유전층을 포함하는 기판을 처리하는 축방향 플로우 다운스트림 플라즈마 처리 장치는: 실질적으로 질소 및 산소를 포함하지 않은 가스를 포함하는 가스원, 상기 실질적으로 질소 및 산소를 포함하지 않은 가스로부터 질소 함유 종 및 산소 함유 종을 감소시키도록 적응되는 상기 가스원과 유체 연통하는 가스 정화기; 상기 가스 정화기와 유체 연통하는 플라즈마 생성부로서, 플라즈마 관 및 상기 플라즈마 관에 결합되어 상기 정화된 질소 및 산소를 포함하지 않은 가스로부터 상기 플라즈마 관 내에서 플라즈마를 생성시키는 플라즈마 생성기를 포함하는, 플라즈마 생성부; 처리실 입구 주위에서 배플 플레이트 어셈블리를 포함하는 상기 플라즈마 관과 유체 연통하는 처리실; 산화 가스원과 유체 연통하는 가스 입구를 포함하는 상기 처리실 내의 중앙에 위치되는 배기관; 상기 배기관에 결합되고 상기 배기관에서 바람직하게는 산화하는 플라즈마를 생성시키도록 적응되는 애프터버너 어셈블리; 및, 상기 산화하는 플라즈마에 의해 제공되는 플라즈마 방전 내에서 포커스된 수집 옵틱(collection optics)을 포함하는 상기 배기관에 결합되는 광 검출 시스템을 조합하여 포함한다.
또한 다른 실시예에서, 기판을 처리하는 다운스트림 플라즈마 처리 장치는: 가스원; 상기 가스원과 유체 연통하는 플라즈마 생성부로서, 플라즈마 관 및 상기 플라즈마 관에 결합되어 상기 가스원으로부터 상기 플라즈마 관 내에서 플라즈마를 생성시키는 플라즈마 생성기를 포함하는 플라즈마 생성부; 및 상기 가스원 및 상기 플라즈마 생성기에 개재하는 가스 정류기를 조합하여 포함한다.
또한, 본원에는 그 내에 포함된 기판을 처리하기 위한 플라즈마 처리실이 서술되는데, 상기 플라즈마 처리실은 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하는 배플 플레이트 어셈블리를 포함하는데, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대하고, 상기 배플 플레이트 어셈블리는 상기 기판과 대체로 평행하게 위치된다.
또 다른 실시예에서, 그 내에 포함되는 기판을 처리하는 상기 플라즈마 처리실은 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하는 배플 플레이트 어셈블리를 포함하는데, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대한다.
또한, 본원에는 처리될 반도체 웨이퍼를 포함하는 인접 처리실로의 가스 플로우를 분포시키는 배플 플레이트 어셈블리가 서술되는데, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하는데, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대한다.
또 다른 실시예에서, 처리될 반도체 웨이퍼를 포함하는 인접 처리실로 가스 플로우를 분포시키는 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하는데, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대한다.
산소 및 질소를 포함하지 않은 플라즈마에서 생성된 여기된 종들을 기판상으로 균일하게 분포시키는 공정은: 상기 여기된 종들을 플라즈마 처리실 내로 도입시키는 단계로서, 상기 플라즈마 처리실은 상기 여기된 종들을 수용하는 배플 플레이트 어셈블리를 포함하며, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대하는, 도입 단계; 및 배플 플레이트 어셈블리를 통해서 흐른 후 상기 여기된 종들에 상기 기판을 노출시키는 단계를 포함한다.
또 다른 실시예에서, 산소 및 질소를 포함하지 않은 플라즈마에서 생성된 여기된 종들을 기판상으로 균일하게 분포시키는 공정은: 상기 여기된 종들을 플라즈마 처리실 내로 도입시키는 단계로서, 상기 플라즈마 처리실은 상기 여기된 종들을 수용하는 배플 플레이트 어셈블리를 포함하며, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하며, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대하는 도입 단계; 및 배플 플레이트 어셈블리를 통해서 흐른 후 상기 여기된 종들에 상기 기판을 노출시키는 단계를 포함한다.
탄소 및/또는 수소 함유 저 k 유전층을 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔류물들을 제거하는 플라즈마 애싱 공정은 오염 레벨이 감소된 정화된 가스를 형성하기 위하여 가스 정화기 내로 실질적으로 산소 및 질소를 포함하지 않은 가스를 흐르게하는 단계; 상기 정화된 가스로부터 플라즈마를 형성하는 단계; 상기 플라즈마를 처리실 내로 도입시키는 단계로서, 상기 처리실은 상기 플라즈마를 수용하는 배플 플레이트 어셈블리를 포함하며, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하며, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대하는 도입 단계; 상기 배플 플레이트 어셈블리를 통해서 상기 플라즈마를 흐르게하고 기판으로부터 상기 포토레지스트 재료, 에칭 후 잔류물들 및 휘발성 부산물들을 제거하기 위하여 상기 기판을 노출시키는 단계; 상기 처리실 내의 중앙에 위치된 배기관으로 상기 제거된 포토레지스트 재료, 에칭 후 잔류물들 및 휘발성 부산물들을 배출하는 단계; 산화 가스를 상기 배기관 내로 선택적으로 도입시키는 단계; 상기 산화 가스 및 상기 제거된 포토레지스트 재료, 에칭 후 잔류물들 및 휘발성 부산물들로부터 플라즈마를 형성하는 단계; 상기 배기관 플라즈마에서 생성되는 방출 신호를 광학적으로 모니터하는 단계; 및, 상기 방출 신호의 변화의 관찰로부터 상기 포토레지스트 및 에칭 후 잔류물들의 엔드포인트를 검출하는 단계를 포함한다.
또 다른 실시예에서, 탄소 및/또는 수소 함유 저 k 유전층을 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔류물들을 제거하는 플라즈마 애싱 공정은 오염 레벨이 감소된 정화된 가스를 형성하기 위하여 가스 정화기 내로 실질적으로 산소 및 질소를 포함하지 않은 가스를 흐르게하는 단계; 상기 정화된 가스로부터 플라즈마를 형성하는 단계; 상기 플라즈마를 처리실 내로 도입시키는 단계로서, 상기 처리실은 상기 플라즈마를 수용하는 배플 플레이트 어셈블리를 포함하며, 상기 배플 플레이트 어셈블리는 대체로 평면 하부 배플 플레이트 위에 고정 위치되는 대체로 평면 상부 배플 플레이트를 포함하며, 상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작으며, 상기 하부 배플 플레이트는 중심축 주위에 방사상으로 배치되는 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대하는, 도입 단계; 상기 배플 플레이트 어셈블리를 통해서 상기 플라즈마를 흐르게하고 기판으로부터 상기 포토레지스트 재료, 에칭 후 잔류물들 및 휘발성 부산물들을 제거하기 위하여 상기 기판을 노출시키는 단계; 상기 처리실의 하부벽 내의 중앙에 위치된 배기관으로 상기 제거된 포토레지스트 재료, 에칭 후 잔류물들 및 휘발성 부산물들을 배출하는 단계; 산화 가스를 상기 배기관으로 선택적으로 도입시키는 단계; 상기 산화 가스 및 상기 제거된 포토레지스트 재료, 에칭 후 잔류물과 휘발성 부산물들로부터 플라즈마를 형성하는 단계; 상기 배기관 플라즈마에서 생성되는 방출 신호를 광학적으로 모니터하는 단계; 및, 상기 방출 신호의 변화의 관찰로부터 상기 포토레지스트 및 에칭 후 잔류물들의 엔드포인트를 검출하는 단계를 포함한다.
상기 설명된 특징들 및 이외 다른 특징들이 이하의 도면들 및 상세한 설명에 의해 예시된다.
전형적인 도면들과 관련하여, 여러 도면에서 동일한 소자들에 동일한 번호가 병기된다.
도1은 다운스트림 플라즈마 애싱 장치의 단면도.
도2는 플라즈마 애셔 장치에 사용하기 위한 마이크로파 인클로우져의 사시도.
도3은 다운스트림 플라즈마 애싱 장치에 사용하는데 적합한 플라즈마 생성부를 개요적으로 도시한 단면도.
도4는 플라즈마 애싱 장치의 사시도.
도5는 가스 분포 시스템이 설치된 포토레지스트 애셔 처리실의 부분 절단 사시도.
도6은 일 실시예를 따른 가스 분포 시스템의 평면도.
도7은 라인 7-7을 따라서 본 도6의 배플 플레이트 어셈블리의 단면도.
도8은 또 다른 실시예를 따른 가스 분포 시스템의 평면도.
도9는 다운스트림 플라즈마 애싱 장치를 위한 로드락 챔버(loadlock chamber) 어셈블리 및 처리실의 사시도.
도10은 도9의 로드락 챔버 어셈블리 및 처리실의 상부 평면도.
도1은 일반적으로 저 k 유전체 재료를 포함하는 기판으로부터 포토레지스트, 측벽 침착물, 에칭후 잔류물들을 제거시에 사용하는데 적합한 축방향 플로우 다운스트림 플라즈마 장치(10)를 도시한 것이다. 플라즈마 장치(10)는 일반적으로, 가스 전달 요소(12), 플라즈마 생성부(14), 처리실(16), 및 배기 어셈블리 요소(18)를 포함한다. 각종 요소들의 조합이, 예를 들어 산소 및 질소를 포함하지 않은 플라즈마로 인해 기판 처리를 예기치 않게 개선시켰는데, 여기서 이 기판은 탄소 함유 저 k 유전체 재료를 포함한다.
본원 변경에 특히 적합한 다운스트림 축방향 플로우 플라즈마 장치는 예를 들어 상표명이 Fusion ES이고 액셀리스 테크놀러지 사로부터 시장에서 입수가능한 마이크로웨이브 플라즈마 애셔와 같은 플라즈마 애셔이다. 마이크로웨이브 플라즈 마 애셔의 부분들은 미국 특허 5,498,308 및 4,341,592 및 PCT 국제 출원 WO/97/37055에 서술되어 있으며, 이들이 본원에 참조되어 있다. 후술되는 바와 같이, 이 명세서 내용은 이 실시예 또는 다음 실시예의 임의의 특정 플라즈마 애셔로 제한되지 않는다. 예를 들어, 유도적으로 결합된 플라즈마 반응기가 사용될 수 있다.
지금부터, 탄소 함유 저 k 유전체가 약 3.5보다 작은 유전율을 갖는 집적 회로 등의 제조에 사용하는데 적합한 이들 탄소 함유 절연 재료로 규정된다. 탄소 함유 저 k 유전체 재료는 탄소를 함유하거나 탄소계일 수 있는 펜던트 그룹(pendant groups)을 포함할 수 있고, 여기서 유전체 재료의 백본은 주로 탄소의 상호접속 네트워크로 구성된다. 탄소 함유 저 k 유전체는 일반적으로 2가지 유형, 유기 및 도핑된 산화물 중 하나로서 분류될 수 있다. 유기 저 k 유전체 재료의 예로서 폴리이미드, 벤조시클로부텐, 파릴렌, 다이아몬드형 탄소, 폴리(아릴렌 에테르), 시클로테네, 플루오르카본 등을 들 수 있는데, 이들 유전체는 상표명이 SiLK 또는 BCB로서 시장에서 입수할 수 있다. 도핑된 산화물 저 k 유전체 재료의 예로서 메틸 실세스퀴노산, 하이드로젠 실세스퀴노산, 나노포러스 산화물, 탄소 도핑된 실리콘 이산화물, 등을 들 수 있는데, 예를 들어 이들 유전체는 상표명이 CORAL, BLACK DIAMOND 및 AURORA로서 시장에서 입수할 수 있다. 두 가지 유형의 탄소 함유 저-k 재료는 조밀하고 다공성 버전으로 존재한다. 이들의 다공성 버전은 상표명이 LKD, ORION, BOSS 또는 다공성 SiLK로 시장에서 알려져 있다. 이외 다른 탄소 함유 저 k 유전체 재료는 이 명세 내용에 의해서 당업자에게 명백하게 될 것이다.
마찬가지로, 지금부터 수소 함유 저 k 유전체가 약 3.5 보다 작은 유전율을 갖는 집적 회로등의 제조에 사용하는데 적합한 이들 수소 함유 절연 재료로 규정된다. 상술된 많은 탄소 함유 저 k 유전체는 화학적 구조 면에서 탄소 원자에 부착되는 하나 이상의 수소 원자를 포함한다. 그러나, 적절한 수소 함유 저 k 유전체 재료를 탄소 함유 구로로 본 명세 내용을 제한하고자 하는 것은 아니다.
도1에 도시된 바와 같이, 가스 전달 요소(12)는 (산소 및 질소를 포함하지 않은 플라즈마를 생성시키는) 가스원(22)과 유체 연통하는 가스 정화기(20) 및 플라즈마 가스 요소(14)의 가스 입구(23)를 포함하는 것이 바람직하다. 부가적인 가스원(도시되지 않음)은 가스 입구(23)와 유체 연통되어 인시튜 세정(in situ cleaning) 성능을 제공한다. 바람직한 실시예에서, 정화기(20)는 불순물 레벨을 20ppm(parts per million) 보다 작게 감소시키도록 하는데, 더욱 바람직하게는 불순물을 약 5ppm 보다작게, 더욱 더 바람직하게는 불순물을 약 1ppm 보다작게, 가장 바람직하게는 약 100ppb(parts per billion) 보다작게 감소시킨다. 이들 불순물 레벨을 성취하는 적절한 정화기는 SAES Pure Gas Inc의 상표명 MONO TORR 고 플로우 정화기로 시장에서 입수할 수 있는 이들 가스 정화기와 같은 금속 게터링 기술을 토대로 한 정화기를 포함한다. 플라즈마를 형성하기 위하여 사용되는 가스원(22)과 유체 연통하는 가스 정화기(20)의 사용은, 저 k 유전체 기판, 특히 탄소 함유 저 k 유전체를 신뢰성 있게 처리하는데 유효한 량으로 오염 레벨을 감소시킨다. 산소 및 질소를 포함하지 않은 플라즈마를 생성시키는 적절한 가스는 수소, 헬륨, 아르곤, 네온, 이외 다른 불활성 가스들, 하이드로카본 및 상술된 가스들 중 하나 이상의 가스를 포함하는 조합을 포함하지만 이에 제한되는 것은 아니다. 예를 들어, 보고된 순도 99.99%를 갖는 헬륨 가스원은 탄소계 저 k 유전체의 플라즈마 매개 처리에 바람직하지 않을 수 있다. H2O, O2, CO, CO2 및 N2와 같은 불순물은 기판의 부가 처리 동안 저 k 유전체의 부식을 초래하고 및/또는 유전율을 나쁘게 증가시키도록 하는데 충분한 레벨로 될 수 있다. 플라즈마를 형성하는 인입 가스는 약 20ppm보다 작은 H2O, O2, CO, CO2 및 N2를 포함하도록 정화되는 것이 바람직하다. 정화기(20)는 상대적으로 고 흐름 속도로 이들 바람직한 불순물 레벨을 효율적으로 선택하여 제공하는 것이 바람직한데, 예를 들어 약 1000 내지 약 12000 sccm(standard cubic centimeters per minute) 또는 그 이상의 흐름 속도는 300mm 다운스트림 플라즈마 애셔를 위하여 기대될 수 있다. 200mm 시스템은 흐름 속도에 비례하여 더욱 작게될 필요가 있다.
도2 및 도3은 본 명세 내용을 실시할 수 있는 전형적인 마이크로웨이브 플라즈마-생성 요소(14)를 도시한다. 도4는 마이크로웨이브 플라즈마-생성 요소를 포함하는 플라즈마 애싱 장치(10)의 사시도 및 처리실(16)의 사시도를 도시한 것이다. 플라즈마-생성 요소(14)는 본 명세 내용의 이해와 관련한 이들 요소들만을 도시하도록 간단화된다는 것을 이해하여야 한다. 당업자는 다른 요소가 동작 플라즈마 애싱 장치(10)를 제조하도록 하는데 필요로될 수 있다는 것을 인지할 것이다. 그러나, 이와 같은 요소가 당업계에 널리 공지되어 있어 이들이 본 명세 내용을 이해하는데 더 이상 도움을 주지 못하기 때문에, 이와 같은 요소들의 설명은 제공되지 않았다.
마이크로웨이브 플라즈마-생성 요소(14)는 마이크로웨이브 인클로우져(24)를 포함한다. 마이크로웨이브 인클로우져(24)는 자신을 통과하는 플라즈마 관(32)을 갖는 세로형 섹션들(26, 28, 30)로 구획되는 직사각형 박스이다. 각 파티션은 플라즈마 관이 통과되는 개구를 갖는다. 각 섹션에는 동작 동안 마이크로웨이브 에너지가 공급된다. 따라서, 각 섹션은 인입하는 마이크로웨이브 에너지에 대해 상대적으로 짧은 캐비티로 되어, 방위각 및 축방향 균일성을 갖는 모드들의 포메이션을 증진시킨다. 외부 관(34)은 캐비티 내부에서 플라즈마 튜브를 에워싼다. 외부 관은 플라즈마 관으로부터 약간 분리되고, 정의 압력(positive pressure) 하에서 공기는 2개의 관들 사이에 공급되어 플라즈마 관을 효율적으로 냉각시킨다. 관(34)은 사파이어로 제조되는 것이 바람직하다. 석영, 알루미늄-코팅된 석역 또는 세라믹과 같은 다른 플라즈마 관 재료가 사용될 수 있다. 바람직하게는, 마이크로웨이브 인클로우져(24)는 직사각형 TM(110) 모드를 지원하도록 치수화되고 인클로우져(24)는 정사각형 교차부를 가질 수 있다. 교차부의 치수는 TM(110) 모드가 공진이 되도록 한다. 각 섹션의 길이는 λg/2 보다 작으며, 여기서 λg는 TE 101 모드의 캐비티 내의 가이드 길이이다.
동심 관(concentric tubes)을 제공하는 파티션(26, 28, 30) 내의 개구는 플라즈마 관의 외부 치수보다 크게 만들어진다. 또한, 마이크로웨이브 구조의 개방측을 커버하고 인접 섹션으로 마이크로웨이브 에너지를 공급하는데 유효한 아이리스플레이트(36)가 도시되어 있다. 이 플레이트(36)는 마이크로웨이브 에너지를 공급하는 아이리스(38, 40, 42, 44)를 갖는 평면 금속성 플레이트이다. 설계를 따르면, 방사상 전송 라인 트랩 사용으로 인해 이와 같은 아이리스를 통한 마이크로웨이브 전송이 제한된다. 이들 트랩이 각 파티션의 상부 및 하부 표면에 대한 경계 조건을 일치시키도록 한다. 외부관이 사용되지 않으면(어떤 다른 방식으로 제공된 냉각), 이 파티션의 개구는 이와 같은 마이크로웨이브를 전송하기 위하여 플라즈마 관 및 파티션 간에 공간이 존재하도록 하는 크기로 된다.
마이크로웨이브 트랩(46 및 48)은 단부에 제공되어 마이크로웨이브 전송을 방지한다. 이와 같은 트랩은 U.S 특허 제 5,498,308호에 서술된 유형일 수 있다. 공기 실(seals)/지향성 피더(50 및 52)는 공기를 냉각시키고 이를 동심 관들 간의 공간에 공급하기 위하여 제공된다. 공기 실/지향성 피더(54)는 출구단에 도시되고 제4의 이와 같은 유닛은 제공되지만 도시되지는 않는다.
마그네트론(56)은 커플러(58)를 통해서 상호 수직 섹션(60 및 62)을 갖는 도파관 공급 TE 10 모드에 공급되는 마이크로웨이브 전력을 제공한다. 도파관 섹션(62)의 길이는 이동가능한 플런저(64)로 조정가능하다. 도파관 섹션(62)의 하부 플레이트는 마이크로웨이브 에너지를 구획된 마이크로웨이브 구조체(24)로 결합시키는 아이리스 플레이트(36) 인데, 이 구조체를 통해서 플라즈마 관(32)이 신장되어, 플라즈마가 플라즈마 관을 통해서 흐르는 가스 혼합물에서 여기된다.
도3을 다시 참조하면, 엔드 캡(70)은 마이크로웨이브 트랩(48)에 접하고 가스를 플라즈마 관으로 허용하는 중앙 오리피스를 갖는 피팅(74)이 엔드 캡으로 신장된다는 것을 알 수 있다. 가스 공급부(22)는 외부 흐름 박스(도시되지 않음)에 의해 조절된다. 가스 정화기(20)는 가스 공급부(22) 및 가스 입구(23)와 유체 연통되어 배치된다(도1 참조). 플라즈마 관(32)은 엔드 캡 내의 "o" 링(72)에 의해 이 단부에서 지지된다. 외부 관(34)은 마이크로웨이브 트랩(46 및 48)에 대해 인접함으로써 이 단부에서 지지된다. 스페이서(76)는 처리실에 대해서 적절한 간격을 제공하기 위하여 제공된다. 플라즈마 관의 다른 단부는 단부 부재(78)에 위치되고 플라즈마/가스를 처리실(16) 내로 방출시키는 개구(80)를 갖는다. 선택적으로, 개구(80)를 형성하는 도관은 협 개구 피팅과 맞춰져 플라즈마 관(32) 및 처리실(16) 간에 압력 차를 생성하는데, 여기서 이 압력은 플라즈마 관(32) 보다 크다. 동작 동안, 플라즈마 관(32) 내의 압력은 약 1 토르 내지 약 대기압 까지의 범위가 바람직하다. 대조적으로, 동작 동안 처리실 내의 압력은 약 100밀리토르 내지 약 대기압 까지의 범위이다.
플라즈마 관(32)의 개구(80)는 처리실(16)의 내부 영역과 유체 연통된다. 플라즈마가 상대적으로 좁은 오리피스(처리될 기판의 치수와 비교하여)로부터 처리실 내부로 방출되기 때문에, 기판 상으로 균일한 플라즈마 노출을 증진시키는 가스 분포 시스템(100)은 처리실(16) 내에 배치된다. 가스 분포 시스템(100)은 플라즈마 관(32)의 기판 및 개구(80)의 중간에 배치된다.
바람직한 실시예에서, 가스 분포 시스템(100)은 기판 표면에 대해 플라즈마를 균일하게 분포시키도록 웨이퍼 위에서 하나 이상의 배플 플레이트를 포함한다. 배플 플레이트는 바람직하게는 다수의 적층된 배플 플레이트를 포함하는데, 여기서 각 플레이트는 하나 이상의 개구부를 포함한다. 특히 바람직한 실시예에서, 배플 플레이트 어셈블리는 플라즈마에서 반응종의 더욱 균일한 반응성을 제공하도록 한다. 배경부에서 서술된 바와 같이, 예를 들어 플라즈마 내의 수소 래디컬은 수소 래디컬이 축방향 플로우 반응기 내의 최중앙 충돌 지점으로부터 배플 플레이트 외부 에지로 이동할 때 애싱 효율이 감소된다는 것이 발견되었다. 이론적으로 제한하길 원하지는 않지만, 배플 플레이트의 외부 에지로의 이들 종 흐름으로서 수소 래디컬의 활동도의 감소가 2가지 효과들의 조합으로부터 될 수 있다라고 여겨진다. 첫 번째, 본원에 서술된 다운스트림 플라즈마 장치와 같은 축방향 플로우 반응기 설계에서, 웨이퍼의 중앙 부분들로부터 포토레지스트 애싱 부산물 및 소비된 가스는 웨이퍼의 에지를 통과하여 흘러 처리실(16)의 배기관(170)에 도달한다. 이는 중앙 부분들과 비교하여 웨이퍼 에지에 더욱 근접한 활성 수소 래디컬을 크게 희석시키고 이 래디컬을 에지에 더욱 근접하도록 하는 기회를 더 많이 제공하여 더욱 중앙 위치로부터 제거되는 포토레지스트 애싱 부산물과 반응함으로써 비활성화되도록 한다. 두 번째, 에지에 대한 거리가 중앙에 대한 거리보다 크기 때문에, 수소 래디컬이 분자 수소 종과의 재결합과 같은 처리로부터 비활성화되도록 할 것이다. 애시율의 더욱 양호한 균일성은 배플 플레이트의 개구 밀도를 증가시킴으로써 배플 플레이트의 중심점으로부터 외부 에지까지의 말단에서 성취될 수 있다는 것이 발견되었다. 예를 들어, 중심점으로부터 외부 에지까지의 개구 밀도를 증가시킴으로써 또는 배플 플레이트의 중심점으로부터 외부 에지까지의 개구의 크기를 증대시킴으로써 또는 개구가 없는 중심점을 포함함으로써 또는 상술된 배플 플레이트 구성들중 하나 이상의 구성을 조합함으로써, 반응성을 증가시키고 기판에서 플라즈마 균일성을 개선시킨다.
도5-8은 장치(10)에 사용하기 위한 적절한 가스 분포 시스템을 도시한 것이 다. 바람직한 실시예에서, 가스 분포 시스템(100)은 이중 배플 플레이트 어셈블리이다. 도5는 제1 실시예의 가스 분포 시스템 또는 배플 플레이트 어셈블리(100)가 통합되는 처리실(16)을 도시한 것이다. 그 내에 설치되는 배플 플레이트를 갖는 애셔 처리실(16)은 300 밀리미터(mm) 웨이퍼 처리 시스템에 사용하는데 적합하다. 가스 분포 시스템(100)은 또한 이 명세 내용에 의해 당업자가 인지하는 바와 같은 200mm 웨이퍼에 사용될 수 있다. 게다가, 본원에 서술된 가스 분포 시스템(100)은 다운스트림 플라즈마 애셔 장치 내에서 구현되는 것으로 도시되었지만, 이는 또한 잔여물 제거, 스트립핑, 등방성 에칭 장비와 같은 다른 반도체 제조 장비에 사용될 수 있다.
배플 플레이트 어셈블리(100)는 대체로 서로 평행하게 위치되고 서로 분리되는 상부 개구 배플 플레이트(102) 및 비교적 큰 하부 개구 배플 플레이트(104)를 포함한다. 배플 플레이트 어셈블리(100)는 처리될 웨이퍼(110)가 배치되는 캐비티(108)를 포함하는 처리실의 하부 부분(106)에 부착된다. 서로 평행하게 지향되는 것 이외에도 배플 플레이트(102 및 104)는 또한 처리되는 웨이퍼(110)에 평행하게 지향된다.
실(112)은 처리실의 상부 부분(106) 및 배플 플레이트 어셈블리(100)간의 인터페이스에 제공되고 하부 배플 플레이트(104)의 홈(114) 내에 위치한다(도7 참조). 웨이퍼는 입구/출구 경로(116)를 거쳐 로드락 메커니즘(도시되지 않음)을 통해서 처리실로 도입되고 제거된다. 처리실의 하부 부분(106) 아래에 위치되는 히터 메커니즘(후술됨)은 웨이퍼(110)의 아래측을 처리 동안 소망 온도로 가열한다.
처리실(16)은 통상, 홀(118)의 위치에서 히터 어셈블리(아래) 및 플라즈마 생성부(14)(위)의 중간의 플라즈마 애싱 장치(10) 내에 설치된다. 동작 동안, 플라즈마 관(32)(도3 참조)의 상대적으로 좁은 오리피스에 남아있는 활성화된 플라즈마(가스)는 배플 플레이트 어셈블리(100)와 부딪친다. 바람직한 실시예에서, 플라즈마 관(32)으로부터 흐르는 활성화된 플라즈마는 우선 실질적으로 개구가 없는 상부 배플 플레이트(102)의 중앙 영역에 부딪친다. 이런 개구가 없는 중앙 영역은 플라즈마 관(32)을 빠져나오는 고 축방향 가스 속도를 제거하고 방사 방향으로 가스/플라즈마 종을 가속하는 기능을 가져 하부 배플 플레이트(104) 및 챔버의 뚜껑 간에 형성된 플리넘의 적절한 동작을 성취한다. 그 후, 플라즈마는 상부 배플 플레이트(102)의 개구부(120) 및 하부 배플 플레이트(104)의 개구부(122)를 통해서 처리실 캐비티(108) 내로 분포된다. 일 실시예에서, 하부 배플 플레이트(104)는 입구(126) 및 출구(128)를 거쳐 내부 냉각 경로(124)를 통해서 흐르는 냉각 매체로 활성적으로 냉각될 수 있다. 처리실의 하부 부분(106)의 벽은 또한 입구(134) 및 출구(136)를 거쳐 내부 냉각 경로를 통해서 흐르는 냉각 매체로 활성적으로 냉각될 수 있다.
도6 및 도7에 더욱 명백하게 도시된 바와 같이 하부 배플 플레이트(104)는 외부 플랜지(138) 및 개구부(122)를 포함하는 대체로 평면 부분(140)을 포함한다. 마운팅 홀(도시되지 않음)은 하부 배플 플레이트(104)에 제공되어 스탠드오프(102)에 의해 상부 배플 플레이트(14)를 자신에 설치한다. 상부 및 하부 배플 플레이트 간의 거리는 부분적으로 배플 플레이트 어셈블리(100)를 통해서 가스 흐름의 패턴을 결정한다. 300mm 플라즈마 애셔에 대해서, 상부 및 하부 배플 플레이트(102, 104) 간의 거리는 각각 약 0.25인치 내지 약 2인치가 바람직한데, 더욱 바람직하게는 약 0.5 내지 약 1.5인치 거리이다.
도6은 도5에 도시된 300mm 배플 플레이트 어셈블리의 평면도이고, 도7은 배플 플레이트 어셈블리(100)의 이 실시예의 단면도이다. 이들 도면에 도시된 바와 같이, 배플 플레이트 어셈블리(100)는 하부 배플 플레이트 플랜지(138) 내의 마운팅 홀(146)을 통해서 처리실의 하부 부분(106)에 설치된다. 개구부는 하부 배플 플레이트에 제공된다. 개구 부분(122)의 표면적은 그 아래에 있는 웨이퍼(110)를 커버하는데 충분하다(도5 참조). 이 실시예에서, 개구부(122)의 크기는 하부 배플 플레이트의 중점으로부터 외부 에지로 증대한다. 개구부(122)의 증대하는 크기는 가령 탄소 함유 저 k 유전체에 사용하기 위한 산소 및 질소를 포함하지 않은 플라즈마에 대한 플라즈마 균일성을 개선한다.
도8은 또 다른 실시예를 따른 하부 배플 플레이트(104)의 평면도를 도시한다. 여기서, 개구부(122)의 밀도는 하부 배플 플레이트(104)의 중점으로부터 외부 에지로의 한 트랜지션(transitions)에 따라서 증가하는데, 여기서 개구부의 크기는 동일하다. 하부 배플 플레이트는 석영(SiO2), 사파이어 코팅된 석영, 사파이어, 세라믹, 또는 양극처리된 알루미늄으로부터 제조되는 것이 바람직하다.
상부 배플 플레이트(102)의 개구부(120)는 일반적으로 방사상 또는 동심의 다수 원형 패턴으로 배열된다. 상부 배플 플레이트(102)는 사파이어-코팅된 용융된 실리카, 석영, 사파이어 또는 세라믹 재료로 이루어진다. 상부 배플 플레이트(102)의 개구부(122)는 하부 배플 플레이트(104)의 최대 개구부(122)보다 다소 큰 것이 바람직하다. 상부 배플 플레이트(102)의 중앙에는 부가적으로 사파이어, 석영 또는 세라믹 충돌 플레이트(152)를 포함할 수 있는 개구가 없는 영역은 위치되는 것이 바람직한데, 이 플레이트는 예를 들어 나사(154)에 의해 상부 배플 플레이트에 고착될 수 있다. 충돌 디스크(152)를 갖거나 갖지 않는 상부 배플 플레이트(102)의 개구가 없는 중앙 부분은 플라즈마 관(32)으로부터 방출되는 활성 가스들을 상부 배플 플레이트(102)의 남아있는 개구 영역의 방사상으로 바깥쪽으로 지향시켜, 처리되는 웨이퍼(110)의 방사상으로 안쪽 부분이 과열되는 것을 방지함으로써 웨이퍼의 나머지보다 적절하게 높은 율로 애싱되도록 한다. 대안적인 실시예에서, 상부 배플 플레이트(102)는 바람직하게는 200mm 웨이퍼를 처리하기 위하여 개구가 없이 구성될 수 있다.
기판(110)의 가열은 바람직하게는 웨이퍼(110) 아래에 위치되는 텅스텐 할로겐 램프(160)(도1 참조)의 어레이에 의해 성취되는 것이 바람직하다. 가시 및/또는 적외선 방사에 투명한 플레이트(156)(도5에 도시된 바와 같은 처리실의 하부벽)는 챔버(16) 및 램프(160) 사이에 배치된다. 기판은 애싱 동안 약 80℃(C) 내지 약 350℃까지 가열되는 것이 바람직하다. 이 기판은 온도를 증분적으로 증가시킴으로써 계단적으로 가열되는 것이 더욱 바람직하다. 가열은 플라즈마와 포토레지스트 및/또는 포스 에칭 잔류물들의 반응 속도를 증가시켜 결국 처리량을 증가시키는 것으로 밝혀졌다. 기판에 가해지는 열량은 특정 저 k 유전층 및 기판에 이미 형성된 다른 층들 및 요소들의 열 안정성에 좌우될 것이다. 바람직한 실시예에서, 열량은 불균일하게 기판의 선택된 존들에 가해져 포토레지스트와 플라즈마를 균일한 반응을 용이하게 한다. 이 실시예에서, 제어기(도시되지 않음)는 처리 동안 웨이퍼의 더욱 균일한 가열을 촉진시키기 위하여 기판을 가변적으로 가열하는 램프 어레이(160)와 동작적으로 연결된다. 웨이퍼를 가열하는 대안적인 방법은 통상 척(chuck)이라 칭하는 웨이퍼를 가열하기 위하여 접촉 또는 근접하는 평면 가열된 표면을 사용한다.
기판(110)은 충분한 강도 및 지속기간의 가열에 노출되어 휘발성 오염물이 저-k 유전층 밖으로 확산되고 기판 내의 임의의 다른 요소 또는 층의 열화를 초래하지 않도록 하는 것이 바람직하다. 다공성 또는 비다공성 도핑된 산화물 탄소 함유 저 k 유전체 재료에 대해서, 웨이퍼는 약 20℃ 내지 약 400℃까지 가열되며, 더욱 바람직하게는 약 100℃ 내지 약 300℃ 까지 가열된다. 유기 저 k 재료에 대해서, 웨이퍼는 약 80℃ 내지 최대 약 180℃ 까지 가열된다. 유기 유전체의 최대 온도는 사용되는 유기 저 k 재료의 진성 특성을 따르고 당업자에게 공지된 열 분석 기술에 의해 결정될 수 있다. 이 온도는 처리 동안 계단형으로 증가되거나 건조 공정에 걸쳐서 고정된 채로 유지된다.
게다가, 처리실(16)은 하부 플레이트(156) 내의 중앙에 배치되는 배기구(158)를 포함한다. 배기구(158)는 플라즈마 관(32)과 동축인 것이 바람직하다.
처리실(16) 내의 동작 압력은 바람직하게는 약 100 밀리토르 내지 약 3 토르이고, 더욱 바람직하게는 약 200밀리토르 내지 약2 토르이고, 더욱 더 바람직하게는 약 500밀리토르 내지 약 1.5 토르이다. 게다가, 처리실(16)은 애플리케이션에 따라서 부가적인 특징을 더 포함할 수 있다. 예를 들어, 석영 윈도우가 설치될 수 있고, UV 광원이 웨이퍼에 근접하여 배치될 수 있다. 이와 같은 비주상형(non-columnar) 광원은 벌크 스트립 애플리케이션에서 포토레지스트 제거를 향상시키는 것으로 도시된 UV 엑시머 레이저와 유사한 파장을 가질 수 있고, 마이크로웨이브 플라즈마 생성된 반응성 가스와 함께 사용될 수 있다. 게다가, 광원에 전- 및 후- 포토레지스트 스트립 노출되면, 또한 잔류물 제거 및 임플란트된 레지스트 제거 이점을 제공한다. 오버헤드 RF 소스, 광학 포트, 가스 분석기, 부가적인 광원, 등은 또한 독립적으로 또는 조합 중 어느 하나로 사용될 수 있는데, 처리실(16)은 대단히 유연한 처리 플랫폼을 제공한다.
처리실(16)에 배기 어셈블리 요소(18)가 결합된다. 배기 어셈블리 요소(18)는 처리실(16)의 내부 영역과 유체 연통하는 배기관(170)을 포함한다. 배기관(170)의 입구(172)는 처리실(16)의 하부 플레이트(156) 내의 개구(158)에 유체적으로 부착된다. 배기관(170)은 입구(172)로부터 출구(174)까지 실질적으로 직선 형상을 가짐으로써 고 충돌 영역(예를 들어, 도관에서의 급격한 휨 및 구부려짐)을 최소화하고 급격하게 휘어진 곳에서 포토레지스트 재료 및 플라즈마 애싱 부산물의 축적 경향을 최소화하는 것이 바람직하다. 바람직한 실시예에서, 배기관(170)은 석영으로부터 제조된다. 배기관(170)(및 개구 156)의 최소 직경은 300mm 애싱 장치에 대해서 적어도 약 2 인치가 바람직하다(약 1.5인치 또는 이 보다 큰 인치가 200mm 플라즈마 애싱 장치에 대해서 바람직하다). 처리실(16) 내에 배기관(170)을 중앙에 위치시킴으로써, 플라즈마 관으로부터 배기 어셈블리로의 흐름은 간단화되고 더 큰 플라즈마 균일성을 제공한다.
배기관(170)의 출구(174)는 진공 시스템(176)에 연결되는 것이 바람직하다. 애프너버너 어셈블리(178)는 배기관(170)과 동작적으로 연결되어 있다. 가스 입구(180) 및 가스원(182)은 배기관(170)과 유체 연통되고 애프터버너 어셈블리(178)로부터 위에 위치된다. 애프터버너 어셈블리(178)는 배기관(170) 내에서 플라즈마 방출시키도록 사용되어 처리실(16)로부터 방출된 포토레지스트 재료 및 부산물을 휘발시킨다. 이하에 더욱 상세하게 설명된 바와 같이, 가스원(180)은 산소와 같은 비할로겐계 산화 가스가 바람직하다. 산화 가스는 RF 코일 바로 위에 있고 처리실(16)의 배기구(158)로부터 이격되어 있는 애프터버너 어셈블리에 도입되는 것이 바람직하다. 처리실(16) 내로 산소의 주입은 상술된 방식으로 저 유전체 재료에 나쁜 영향을 미칠 수 있음으로 하드웨어 및 프로세스가 이를 피하도록 설계된다.
애프터버너 어셈블리(178)는 일반적으로 배기관(170)의 외부 주위에 랩핑되는 RF 코일(103)을 포함하여 배기관(170)을 통해서 흐르는 가스 혼합물을 유도적으로 여기시킨다. 산화 가스는 애프터버너 어셈블리(178)로부터 위에 있는 입구(180)에 도입되는 것이 바람직하다. RF 코일은 마이크로웨이브 소스로 대체되어 유사한 결과의 플라즈마를 생성시킬 수 있다. 트로틀 밸브(184), 포라인 밸브(foreline valve)(도시되지 않음), 진공 펌프(176) 및 다른 진공 처리 라인은 애프터버너 어셈블리(178)로부터 아래에 배치된다.
RF 코일(183)은 적절한 RF 생성기 또는 전원(186)에 연결된다. 전원 주파수는 전형적으로, 1킬로와트 미만에서 400KHz로부터 13.56MHz의 값까지 변화할 수 있지만, 또한 이보다 높은 주파수 및 더 높은 전력일 수도 있다. 300와트(W) 내지 500와트의 RF 전력은 배기관(170)에서 산소 종 함유 플라즈마를 유도 결합시키도록 사용되는데, 이는 그 내에 포함되는 유기물을 연소시킨다. 따라서, 처리실로부터 아래의 포토레지스트 재료 및 플라즈마 부산물의 침착이 방지 및/또는 제거된다.
RF 연결은 전형적으로 RF 매치박스(188)을 통해서 이루어지고 코일(183)은 플라지마 애싱 공정의 시작에서 활성화된다. 결합된 RF 필드를 통과하는 산소 함유(O2) 가스 혼합물은 유기물을 효율적으로 그리고 유효하게 연소시키는 플라즈마를 생성시킨다. 애프터버너 어셈블리(178)는 처리실(16)에서 기판(10)의 플라즈마 애싱 공정 동안 동시에 동작하도록 구성된다.
게다가, 배기관(170)은 또한 광 검출 시스템(190)을 포함한다. 광 검출 시스템(190)은 플라즈마 및 포토레지스트 간의 반응 부산물 및 반응물에 대응하는 특정 파장 범위를 갖는 방출 신호를 광학적으로 검출한다. 이 기술은 플라즈마 내의 반응물 및 부산물로부터 광 방사 특성의 방출 강도의 변화의 검출을 토대로 한다. 여기된 원자 및 분자는 전자가 더 높은 에너지 상태로부터 더 낮은 에너지 상태로 릴랙스(relax)될 때 광을 방출한다. 상이한 화학적 화합물의 원자 및 분자는 일련의 특정 스펙트럼 라인을 방출한다.
플라즈마 내의 각 화학적 화합물에 대한 방출 강도는 부분적으로 플라즈마 내 화학적 화합물의 상대 농도를 따른다. 전형적인 광학 방출 스펙트로스코피 장치는 반응종들의 방출 강도 및 반응종들 및 포토레지시트의 부산물을 측정함으로써 동작된다. 예를 들어, 부산물에 의한 광 방출은 감소되고 엔드포인트에 도달될 때 최종적으로 중지된다. 광 방출 스펙트로스코피 장치는 부산물의 하향 방출 강도를 감지하여 이 엔드포인트를 결정한다. 애프터버너 어셈블리(178)의 방출 영역으로부터 아래의 영역들로부터의 광학 신호는 플라즈마가 점화될 때를 명백하게 표시하도록 사용될 수 있다. 예를 들어, 산소와 같은 산화제는 플라즈마가 배기관(170) 내에서 점화되고 연소 산출물이 생성될 때 소모된다. 연소 산출물, 예를 들어, 일산화탄소, 이산화탄소, 물 등은 산소 함유 플라즈마를 지닌 포토레지스트의 플라즈마 애싱 동안 통상적으로 부딪치는 산출물이다. 이들 종은 강한 광학 방출 신호를 방출하기 때문에, 산소 및 질소를 포함하지 않은 플라즈마 처리는 배기관 플라즈마 방출 영역에서 생성된 광학 신호를 분석함으로써 엔드포인트 검출을 위하여 손쉽게 모니터링될 수 있다. 모니터링된 종의 신호가 검출될 수 없다면, 엔드포인트에 도달되었다라고 추정될 수 있다. 상술된 바와 같이, 탄소 함유 및/또는 수소 함유 저 k 유전체를 포함하는 기판으로부터 포토레지스트 마스크 등을 제거하는데 산소 및 질소를 포함하지 않은 플라즈마 처리를 사용하는 것이 바람직하다. 본 명세 내용에 사용하기 위한 적절한 산소 및 질소를 포함하지 않은 플라즈마 처리는 본원에 참조된 Waldfried 등의 계류중인 미국 특허 출원 09/855,177에 개시되어 있다. 본 공정 및 장치는 엔드포인트 검출하는 수단을 제공하는데, 그렇치 않다면 이는 일반적으로 산소 및 질소를 포함하지 않은 플라즈마 애싱 공정 동안 생성되는 광학적으로 비방출하는 종으로 인해 처리실에서 직접 검출하는 것이 곤란하다.
광 검출 시스템(190)은 배기관에 결합된다. 수집 옵틱(192)은 배기관 외부(170)에 배치되어 이에 따라서 통과되는 방출 스펙트럼을 수집한다. 배기관(170)이 석영 또는 사파이어와 같은 광 투명 재료로부터 제조되는 것이 바람직하기 때문에, 광학 포트 또는 윈도우가 필요로 되지 않는다. 광 투명 재료가 배기관을 제조하는데 사용되는 경우에, 석영 또는 사파이어의 광학 포트가 배기관에 형성될 수 있다. 스펙트로미터 또는 모노크로메이터(일반적으로 도1에 (194)로 도시됨)는 수집 옵틱(192)으로부터 광을 수신하도록 배열된다. 광 방출 스펙트로스코피 및 기술은 일반적으로 당업계에 널리 공지되어 있다. 일 실시예에서, 광 방출 스펙트로스코피는 스펙트로미터, 가령 CCD(전하 결합 장치) 기반으로 한 스펙트로미터 또는 PDA(광다이오드 어레이) 기반으로 한 스펙트로미터 인데, 이는 파장 범위를 시간 순차적으로 기록하고 방출 스펙트럼을 다음 분석을 위하여 아날로그 신호로 변환시킨다. 선택적으로, 협 대역 필터는 PMT(포토멀티플라이어 관) 또는 광다이오드와 같은 광 검출기에 의한 이득 파장의 특정 범위를 평가하는데 사용될 수 있다. 스펙트로미터는 특정 파장에서 애싱 공정 동안 방출되는 광 신호를 전기 아날로그 신호로 시간 순차적으로 변환시키며, 그 후 이 신호는 당업계에 공지된 방법에 의해서 분석되어 소망 출력을 생성시킨다. 데이터는 실시간으로 관찰되는 것이 바람직하다. 데이터는 관심 파장 범위에 대해서 플라즈마 처리 동안 방출되는 광 강도의 시간 전개를 나타내는 그래픽 형태로 관찰된다.
대안적으로, 다른 광 검출기가 사용될 수 있다. 예를 들어, 상술된 바와 같이, 모노트로메이터는 광을 수집하기 위하여 사용될 수 있다. 당업자에게 공지된 바와 같이, 모노크로메이터는 포토멀티플라이어 간, 광다이오드 등으로 구성되어 방출 신호를 기록할 수 있다.
플라즈마 반응실 내의 이들 광 방출 스펙트로스코피 장치 및 적절한 구성들은 본 명세 내용에 의해 당업자에게 명백하게 될 것이다. 본 명세 내용에 사용하는데 적절한 모노크로메이터의 예는 Verity 사에 의해 시장에서 입수가능한 모델 번호 EP200MMD이다. 본 명세 내용에 사용하는데 적합한 스캐닐 모노크로메이터의 예는 Verity사에 의해 시장에서 입수가능한 모델 번호 EP200SMD이다. 본 명세 내용에 사용하는데 적합한 CCD 기반으로 한 스펙트로미터의 예는 Verity 사에 의해 시장에서 입수가능한 모델 번호 SD1024 및 Ocean Optics으로부터 시장에서 입수가능한 시리즈 PC 2000 CCD 스펙트로미터이다. 본 명세 내용에 사용하는데 적합한 광검출기 어레이의 예는 독일의 Prema Company로부터 시장에서 입수가능한 모델 번호 SPM9001이다.
도9 및 도10은 처리실(16)에 결합되는 로드락 챔버 어셈블리(200)을 도시한다. 로드락 챔버 어셈블리(200) 및 처리실(16)은 상호연결되는데, 여기서 닫혀질 수 있는 진공 실링된 개구(202)가 처리실 및 로드락 챔버 어셈블리(200) 사이에서 웨이퍼 교환시키도록 배치되고 구성된다. 도시된 바와 같이, 이 개구(202)는 단일 웨이퍼과 통과되도록 구성된다. 대안적으로, 개구(202)는 2개의 웨이퍼가 동시에 통과하도록, 예를 들어 처리된 웨이퍼가 처리실로 빠져나가고 처리되지 않은 웨이퍼가 처리실으로 들어가도록 크기화되고 구성될 수 있다. 로드락 챔버 어셈블리(200)는 일반적으로 제거가능한 서브-챔버(204) 및 챔버(206)를 포함한다. 서브-챔버(204)는 챔버(206)의 벽에 제거가능하게 부착되고, 바람직하게는 본원에 전반적으로 참조된 Kinnard 등의 미국 특허 출원 09/905,031에 서술된 바와 같은 듀얼 엔드 이펙터 웨이퍼 이송 메커니즘을 포함한다. 듀얼 엔드 이펙터 웨이퍼 이송 메커니즘은 웨이퍼를 개구(202)를 통해서 챔버(206 및 16) 내로 그리고 밖으로 로봇식 으로 이송한다. 관절 아암이 로드락 챔버(206)에 부착되는 제거가능한 서브-챔버(204)에 하우징되기 때문에, 로보틱 아암의 설치 및 보수가 간단화된다.
듀얼 엔드 이펙터 웨이퍼 이송 메커니즘은 공통 피봇축(212)을 공유하는 상부 링크 아암(208) 및 하부 링크 아암(210)을 포함하는데, 이 피봇축 주위에서 아암이 관절로 이어진다. 상부 링크 아암(208)의 말단부는 상부 엔드 이펙터(216)를 포함하는 상부 트랜스레이팅 아암(214)에 피봇식으로 연결되어 웨이퍼 또는 기판(110)(도1 참조)을 지지한다. 아암(208)은 제거가능한 2조각의 커버(230 및 232)를 갖는 신장된 하우징을 포함한다.
유사하게 구성되는 하부 링크(210)의 말단부는 하부 엔드 이펙터(220)를 포함하는 하부 트랜스레이팅 아암(218)에 피봇식으로 연결된다. 이 아암(212)은 또한 제거가능한 2조각의 커버(230 및 232)를 갖는 신장된 하우징을 포함한다. 듀얼 엔드 이펙터(216 및 220)를 사용하면, 로드락 챔버(206)가 처리실(16)과의 웨이퍼 교환 동작시에 중도 지점에서 두개의 웨이퍼를 동시에 포함하도록 함으로써, 처리량을 높인다. 냉각 플레이트(222)는 로드락 챔버(206)의 중앙 근처에 설치되고 필요한 경우 x-y면에서 수동 조정될 수 있다. 처리실(16)은 공정 동안 웨이퍼(110)를 지지하는 2개의 웨이퍼 지지핀(웨이퍼 핀)(224 및 226)을 포함한다. 열전쌍(228)은 웨이퍼를 위한 부가적인 지지체를 제공하고 웨이퍼의 온도를 측정하는 수단을 제공한다.
로드락 챔버는 100℃ 보다 아래로 기판을 냉각시키는 메커니즘을 제공하는데 유용하다. 게다가, 상술된 바와 같은 로드락 챔버는 기판에 오염물을 도입시킬 수 있는 웨이퍼 간에 통풍공을 필요로하지 않는다. 상술된 바와 같이, 산소 및 질소는 저 k 유전체 재료에 나쁜 영향을 미친다. 이들 가스와의 접촉을 제거 또는 최소화하는 것은 저 k 유전체 재료의 유용한 특성을 유지시키는데 중요하다.
상술된 플라즈마 장치는 기판에 사용되는데, 여기서 저 k 재료는 도핑된 산화물, 다공성 재료 및 유기 저 k 막과 같은 구조 내에서 탄소 및/또는 수소를 함유한다. 탄소 함유 저 k 유전체 재료는 탄소를 포함하거나 탄소를 기반으로 할 수 있는 펜던트 그룹을 포함할 수 있는데, 여기서 유전체 재료의 백본은 주로 상호연결되는 탄소의 네트워크로 이루어진다. 질소 및 산소를 포함하지 않은 플라즈마를 사용하는 이 공정은 고 애싱 선택도를 제공하여 탄소 및/또는 수소계 저 k 유전체 재료로부터의 잔류물, 폴리머 및 포토레지스트 애싱으로부터 생성되는 종래 기술에 공지된 문제들을 극복한다. 게다가, 이 공정은 애싱 플라즈마에서 질소에 의해 초래되는 다음 금속 충전 문제를 경감시킨다.
애싱 공정은 플라즈마 가스 혼합물로부터 반응 종을 생성시키고 기판을 이 반응 종에 노출시키는 것을 포함한다. 플라즈마 가스 혼합물의 특정 성분은 자신들의 성능에 의해 선택되어 플라즈마 형성 조건 하에서 가스 및 플라즈마를 형성한다. 선택된 가스 혼합물은 플라즈마 형성 조건 하에서 반응 산소종 및 반응 질소종을 생성시키는 성분으로부터 제거된다. 가스 혼합물은 산소 함유 화합물 및 질소 함유 화합물로부터 제거되는 것이 더욱 바람직하다. 가스 혼합물은 수소 및 탄화수소와 같은 수소-베어링인 다수의 반응 가스를 포함할 수 있다. 가스 혼합물은 아르곤, 헬륨, 네온 등과 같은 불활성 가스를 더 포함할 수 있다. 가스 혼합물로부터 생성되는 플라즈마는 주로 포토레지스트, 폴리머, 및 잔류물 내의 탄소 및 이외 다른 원자들과 반응하여 표준 온도 및 압력 조건 하에서 휘발되는 화합물 및/또는 린스 제거가능한 화합물을 형성한다. 이 공정은 바람직하게는 50:1 보다 큰 선택도를 갖도록 최적화된다.
이 공정에서 사용하는데 적합한 수소-베어링 가스는 수소를 함유하는 화합물을 포함한다. 수소-베어링 가스는 탄화수소, 수소 가스 또는 이들의 혼합물을 포함한다. 바람직한 수소-베어링 가스는 플라즈마 형성 조건 하에서 가스 상태로 빠져나가 플라즈마 형성 조건 하에서 원자 수소 종 및 다른 수소 래디컬과 같은 반응 수소를 형성한다. 탄화수소는 일반적으로 치환되지 않는다. 수소-베어링 탄화수소 가스의 예로서 메탄, 에탄 및 프로판을 포함한다.
바람직한 수소-베어링 가스는 수소-베어링 가스 및 노블 가스(noble gas)의 혼합물이다. 이 공정에 사용하기 적합한 노블 가스의 예로서 아르곤, 네온, 헬륨 등과 같은 주기울표의 그룹 VIII내의 가스를 포함한다. 종래 기술의 무산소 플라즈마가 일반적으로 수소 및 질소 가스 혼합물을 포함하는 포밍 가스 배합물을 사용하지만, 이 공정에서 질소 가스의 사용은 명백하게 배제된다. 결국, 포밍 가스가 지금부터 수소 및 질소 가스의 혼합물을 포함하는 가스로 규정되기 때문에, 이 공정에서 포밍 가스의 사용은 명백하게 배제된다. 본 발명에 사용하는데 특히 바람직한 것은 수소 및 헬륨 가스를 포함하는 가스 혼합물이다. 헬륨 가스 원자는 가벼워서 기판으로 손쉽게 확산되는데, 이는 플라즈마 생성된 반응 수소 종에 대한 우수한 캐리어 특성이다.
안전성 때문에, 가스 혼합물에서 수소 가스의 퍼센티지는 일반적으로 가스 혼합물 부피당 약 5%를 초과하지 않는다. 그러나, 포토레지스트 제거 속도 및 선택도를 증가시키기 위하여 더 많은 량의 수소가 수용될 수 있고 때때로 바람직하다. 가스 혼합물에서 수소량은 총 부피의 약 1 내지 약 99%가 바람직하다. 가스 혼합물에서 수소량은 총 부피의 약 10 내지 약 30%가 더욱 바람직하다.
동작시, 포토레지스트 및/또는 에칭후 잔류물(탄소 함유 저 k 유전체 재료)을 갖는 반도체 웨이퍼(110)는 웨이퍼 지지 핀상의 처리실(16) 내로 배치된다. 웨이퍼(110)는 적외선 램프(160)에 의해 바람직하게 가열되어 포토레지스트 및/또는 에칭후 잔류물과 플라즈마와의 반응을 가속시킨다. 그 후, 처리실(16) 내의 압력은 감소된다. 이 압력은 약 1 토르 내지 약 5 토르 사이에서 유지되는 것이 바람직하다. 여기가능한 산소 및 질소를 포함하지 않은 가스 혼합물은 정화기 내로 공급되고 나서 가스 입구(24)를 통해서 플라즈마 생성부(14)의 플라즈마 관(32) 내로 공급된다. 플라즈마 생성부(14)의 각 섹션(26, 28, 30)에는 마이크로웨이브 에너지가 공급되어 플라즈마 관(32) 내의 플라즈마를 여기시키는데, 이 플라즈마는 전기적으로 중성이고 대전된 입자들로 이루어진다. 이 대전된 입자들은 플라즈마가 처리실(16) 내로 들어가기 전 선택적으로 제거되는 것이 바람직하다. 가스의 여기되거나 활성화된 원자는 처리실 내로 공급되고 포토레지스트 및/또는 에칭 후 잔류물과 반응하도록 웨이퍼에 걸쳐서 균일하게 분포되는데, 이는 포토레지스트 재료를 제거하고 또한 휘발성 부산물을 형성한다. 포토레지스트 재료 및 휘발성 부산물은 웨이퍼 표면으로부터 중앙에 위치된 배기관(170)으로 연속적으로 쓸려나간다.
플라즈마 애싱과 동시에, 산소와 같은 비할로겐 산화 가스는 처리실(16)로부터 아래의 배기관으로 공급된다. 산소는 처리실(16)로 들어가지 않는다. 애프터버너 어셈블리(178)는 배기관(170) 내에서 고밀도 플라즈마를 형성하도록 활성화된다. CCD 기반으로 한 스펙트로미터로 구성되는 배기관(170)에 대해서, CCD 스펙트로미터는 제공된 경우 포토레지스트 재료 및 휘발성 부산물에 대응하는 방출 신호를 포함하는 방출 스펙트럼을 시간 순차적으로 기록한다. 모니터링된 방출 스펙트럼의 파장 범위는 CCD 스펙트로미터의 유형 및 CCD 스펙트로미터에 도달하는 것으로부터 어떤 파장 방출을 제거하도록 사용되는 임의의 필터의 존재에 의해 결정된다. CCD 스펙트로미터 구성은 애싱 공정 동안 방출된 종으로부터의 방사 및 배경 방사를 동시에 기록한다. 당업자에게 공지된 표준 알고리즘을 사용하면, 배경 방사는 포토레지스트 및/또는 부산물과 플라즈마의 반응으로부터 생성되는 방사로부터 감산될 수 있다. 방출 피크가 강도 값의 변화를 기록하고 엔드 포인트 알고리즘에 의해 설정된 조건이 부합되면, 포토레지스트 및/또는 잔류물의 제거는 완료되고 나서 신호는 제어 유닛으로 전송되고 플라즈마는 턴오프될 수 있다. 진공이 릴리스되고 처리된 웨이퍼가 처리실로부터 제거될 수 있다. 선택적인 린스는 스트립된 웨이퍼 상의 임의의 잔여 잔류물을 제거하도록 사용된다.
모노크로메이터를 갖는 플라즈마 애셔에서, 블랭크 코팅되지 않은 웨이퍼는 우선 처리실(16)에서 노출되고 소망의 파장에서 제1 방출 신호가 측정된다. 제1 방출 신호는 상술된 바와 같은 배경 방사를 표시한다. 다음에, 포토레지스트 및/또는 잔류물(및 탄소계 저 k 유전체 재료를 포함)을 갖는 기판은 처리실에서 플라즈마에 노출된다. 소망 파장에서 방출된 제2 방출 신호는 모노크로메이터에 의해 배기관에서 기록된다. 제1 방출 신호의 배경 방사는 제2 방출 신호로부터 감산된다. 소망 파장에서 제2 방출 신호가 정상 상태에 도달하고 제1 방출 신호와 거의 같거나 그보다 아래일 때, 애싱 엔드포인트가 도달되고 나서 신호는 플라즈마 애셔 내의 제어 유닛으로 전송되고 플라즈마는 턴오프된다. 그 후, 진공은 릴리스되고 처리된 웨이퍼는 반응실로부터 제거된다. 그 후, 선택적인 린스는 스트립된 웨이퍼 상의 임의의 잔여 잔류물을 제거하도록 사용된다.
처리실로부터 방출되는 플라즈마 부산물을 모니터하는 다른 모노크로메이터, 스펙트로미터 등의 구성 및 동작은 본 명세 내용에 의해서 당업자에게 명백할 것이다. 약 238nm, 약 309nm, 약 387nm, 약 431nm, 약 434nm, 약 468nm, 약 472nm, 약 512nm, 약 516nm, 약 656nm, 약 777nm, 및 약841nm(± 약 5 내지 약 10nm)의 방출 신호가 배기관에서 모니터링된다. 이들 방출 신호는 다운스트림 애프터버너 플라즈마, 산소 및 질소를 포함하지 않은 업스트림 플라즈마 및 포토레지스트 성분의 혼합물에 의해 형성되는 플라즈마 부산물 및 포토레지스트 재료를 위한 스펙트럼 피크를 표시한다. 이 방식으로, 산소 및 질소를 포함하지 않은 플라즈마는 포토레지스트 재료 및 에칭후 잔류물을 탄소계 저 k 유전체를 포함하는 기판으로부터 제거하도록 사용되는 반면에, 바람직하게 산화하는 제2 플라즈마는 배기관(170)에 형성되어 산소 및 질소를 포함하지 않은 플라즈마를위한 애싱 엔드포인트를 결정한다.
달리 규정되지 않는한, 각종 요소들(12, 14, 16 및 18)을 제조하는 재료는 금속, 세라믹, 유리, 폴리머, 복합 재료 및 상기 재료들 중 적어도 하나를 포함하는 조합을 포함한다. 예를 들어, 적절한 금속은 양극처리된 알루미늄 및/또는 스테인레스 강철을 포함한다. 적절한 세라믹 재료는 실리콘 카바이드 또는 알루미늄 산화물을 포함한다.
다음 예는 단지 예시하기 위한 것이 본 명세서 내용의 범위를 제한하고자 하는 것은 아니다.
예 1
이 예에서, 플라즈마 균일성은 액셀리스 테크놀러지 사로부터 시장에서 입수가능한 Fusion ES3 다운스트림 마이크로웨이브 플라즈마 애셔에서 상이한 듀얼 배플 플레이트 구성을 사용하여 검사된다. 다수의 300mm 실리콘 웨이퍼는 동일한 조건하에서 AZ1505의 0.75미크론으로 코팅되고 표1에 표시된 온도에서 1.1 토르에서 헬륨 플라즈마에서 4%의 수소에 노출된다. AZ1505 포토레지스트는 Hoechst 사에 의해 상업적으로 입수가능하다. 상부 배플 플레이트는 각 구성에 대해서 동일하게 된다. 13" 원형 영역에 걸쳐서 균일하게 이격된 420개의 개구로 이루어진 제어 하부 배플 플레이트가 사용된다. 각 개구부는 0.113인치의 직경이다. 제어를 위한 플라즈마 균일성은 본 명세 내용에 따라서 두개의 상이한 구성과 비교된다. 구성 1은 15인치 원형 영역에 걸쳐서 균일하게 이격된 570개의 개구로 이루어진다. 중앙으로부터 5인치 반경 내의 개구는 0.113인치의 직경이다. 5인치 반경 밖의 이들 개구는 0.141인치 직경을 갖는다. 구성 2는 중점에서 외부 에지로 증가하는 밀도를 갖는 420개의 개구로 이루어진다. 이 개구는 0.113 인치의 직경을 갖는다. 모든 경우에, 상부 및 하부 배플 플레이트 간의 거리는 0.5인치이고 기판과 하부 배플 플레이트 간의 거리는 0.5인치이다. 플라즈마에 노출되는 시간은 포토레지스트 두께의 대략 1/2을 제거하도록 선택된다. 불균일성은 종래 기술을 사용하여 기판에 걸쳐서 49포인트에서 제거되는 두께를 결정하고 나서 평균값으로 49 포인트에 대한 표준 편차를 나누고 이 결과를 퍼센티지로 표현함으로써 측정된다. 이 결과가 표1에 도시된다.
배플 플레이트 어셈블리 처리 온도(℃) 불균일성(%)
제어 270 14.95
구성 1 270 8.18
구성 2 270 10.83
제어 330 9.61
구성 1 330 3.43
구성 2 330 7.38
이 결과는 하부 배플 플레이트의 중점으로부터 외부 에지로의 개구의 밀도를 증가시키고 개구의 크기를 더욱 더 증대시킴으로써 플라즈마 애시 균일성을 크게 개선시킨다는 것을 보여준다.
본 명세 내용이 바람직한 실시예와 관련하여 설명되었지만, 당업자는 본 발명의 범위를 벗어남이 없이 각종 변경을 행할 수 있고 소자들을 등가물로 대체할 수 있다는 것을 이해할 것이다. 게다가, 본 발명의 필수적인 범위를 벗어남이 없이 본 발명의 개시 내용에 특정 상황 또는 재료를 적응시키도록 많은 수정을 행할 수 있다. 그러므로, 본 발명은 본 발명을 실행하도록 고려된 최적의 방식이 설명된 특정 실시예로 제한되는 것이 아니라 본 발명은 첨부된 청구범위 내에 있는 모든 실시예를 포함한다.

Claims (81)

  1. 기판을 처리하기 위한 축방향 플로우 다운스트림 플라즈마 처리 장치에 있어서,
    질소 및 산소가 없는 가스원;
    상기 가스원과 유체 연통(fluid communication)하는 플라즈마 생성부로서, 플라즈마 관 및, 상기 플라즈마 관에 결합되어 상기 가스원으로부터 상기 플라즈마 관 내에 플라즈마를 생성시키는 플라즈마 생성기를 포함하는 플라즈마 생성부;
    상기 가스원 및 상기 플라즈마 생성부의 중간에 있는 가스 정화기;
    처리실의 입구 주위에 배플 플레이트 어셈블리를 포함하는 상기 플라즈마 관과 유체 연통하는 처리실로서, 상기 배플 플레이트 어셈블리는 평면 하부 배플 플레이트의 위에 고정 위치되는 평면 상부 배플 플레이트를 포함하며, 상기 하부 배플 플레이트는 중심축의 주위에 배치된 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대하고, 상기 배플 플레이트 어셈블리는 상기 기판과 평행하게 위치되는 처리실; 및
    상기 처리실의 하부벽의 중앙에 위치되는 배기관을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 기판의 아래에 위치되고, 상기 처리실의 하부 플레이트와 광학적 연결하는 하나 이상의 가열 램프를 더 포함하는데, 상기 하부 플레이트는 가시 또는 적외선 방사에 투명한 재료로 형성되는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 배기관에 결합되는 애프터버너 어셈블리를 더 포함하는데, 상기 배기관은 상기 처리실 및 상기 애프터버너 어셈블리의 중간에 있는 가스 입구를 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  5. 제 4 항에 있어서,
    상기 애프터버너 어셈블리는 상기 배기관 내에 플라즈마를 생성시키는 수단을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  6. 제 5 항에 있어서,
    상기 애프터버너 어셈블리는 상기 배기관의 외부에 랩핑되는(wrapped) RF 코일, 상기 RF 코일과 전기적으로 연결되는 매치박스(matchbox) 및, 상기 매치박스와 전기적으로 연결되는 전원을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  7. 제 1 항에 있어서,
    상기 배기관에 결합되는 광 검출 시스템을 더 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  8. 제 1 항에 있어서,
    상기 플라즈마 생성부는 각 섹션(section)이 상기 플라즈마 관을 수용하기 위한 개구를 가진 다수의 섹션으로 구획되는 마이크로웨이브 인클로우져; 및 미리 정해진 주파수의 마이크로웨이브 전력을 상기 다수의 섹션에 제공하는 수단을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  9. 삭제
  10. 제 1 항에 있어서,
    상기 플라즈마 관과 유체 연통하는 제2 가스원을 더 포함하는데, 상기 제2 가스원은 상기 처리실의 인시튜 세정(in situ cleaning)을 위한 산화 가스를 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  11. 제 1 항에 있어서,
    상기 플라즈마 관은 상기 상부 배플 플레이트의 직경 보다 작은 개구 직경을 갖는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  12. 제 1 항에 있어서,
    상기 상부 배플 플레이트는 개구가 없는 중앙 영역을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  13. 제 1 항에 있어서,
    상기 상부 배플 플레이트는 상기 하부 배플 플레이트 보다 작은 직경을 갖고, 상기 상부 배플 플레이트 및 상기 처리실의 상부 벽은 그 사이에 플리넘을 형성하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  14. 제 1 항에 있어서,
    상기 처리실에 결합되는 로드락 챔버(loadlock chamber); 및 상기 로드락 챔버와 연결되는 서브-챔버를 더 포함하는데, 상기 서브-챔버는, 상기 서브-챔버 내에 주 피봇축을 갖는 하나 이상의 로보트 아암을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  15. 제 1 항에 있어서,
    로드락 챔버 및, 상기 로드락 챔버의 중앙에 배치되는 냉각 플레이트를 더 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  16. 기판을 처리하기 위한 축방향 플로우 다운스트림 플라즈마 처리 장치에 있어서,
    질소 및 산소가 없는 가스원;
    상기 가스원과 유체 연통하는 플라즈마 생성부로서, 플라즈마 관 및, 상기 플라즈마 관에 결합되어 상기 가스원으로부터 상기 플라즈마 관 내에 플라즈마를 생성시키는 플라즈마 생성기를 포함하는 플라즈마 생성부;
    상기 가스원 및 상기 플라즈마 생성부의 중간에 있는 가스 정화기;
    처리실의 입구 주위에 배플 플레이트 어셈블리를 포함하는 상기 플라즈마 관과 유체 연통하는 처리실로서, 상기 배플 플레이트 어셈블리는 평면 하부 배플 플레이트의 위에 고정 위치되는 평면 상부 배플 플레이트를 포함하며, 상기 하부 배플 플레이트는 중심축의 주위에 배치된 다수의 개구부를 포함하며, 상기 다수의 개구부는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대하는 처리실; 및
    상기 처리실의 하부벽의 중앙에 위치되는 배기관을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  17. 삭제
  18. 제 16 항에 있어서,
    상기 기판의 아래에 위치되고, 상기 처리실의 하부 플레이트와 광학적 연결하는 하나 이상의 가열 램프를 더 포함하는데, 상기 하부 플레이트는 적외선 방사에 투명한 재료로 형성되는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  19. 제 16 항에 있어서,
    상기 플라즈마 관은 상기 상부 배플 플레이트의 직경 보다 작은 개구 직경을 갖는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  20. 제 16 항에 있어서,
    상기 배기관에 결합되는 애프터버너 어셈블리를 더 포함하는데, 상기 배기관은 상기 처리실 및 상기 애프터버너 어셈블리의 중간에 있는 가스 입구를 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  21. 제 20 항에 있어서,
    상기 애프터버너 어셈블리는 상기 배기관 내에 플라즈마를 생성시키는 수단을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  22. 제 20 항에 있어서,
    상기 애프터버너 어셈블리는 상기 배기관의 외부에 랩핑되는 RF 코일, 상기 RF 코일과 전기적으로 연결되는 매치박스 및, 상기 매치박스와 전기적으로 연결되는 전원을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  23. 제 16 항에 있어서,
    상기 배기관에 결합되는 광 검출 시스템을 더 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  24. 제 16 항에 있어서,
    상기 플라즈마 생성기는 각 섹션이 상기 플라즈마 관을 수용하기 위한 개구를 가진 다수의 섹션으로 구획되는 마이크로웨이브 인클로우져; 및 미리 정해진 주파수의 마이크로웨이브 전력을 상기 다수의 섹션에 제공하는 수단을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  25. 삭제
  26. 제 16 항에 있어서,
    상기 플라즈마 관과 유체 연통하는 제2 가스원을 더 포함하는데, 상기 제2 가스원은 상기 처리실의 인시튜 세정을 위한 산화 가스를 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  27. 제 16 항에 있어서,
    상기 처리실에 결합되는 로드락 챔버; 및 상기 로드락 챔버와 연결되는 서브-챔버를 더 포함하는데, 상기 서브-챔버는, 상기 서브-챔버 내에 주 피봇축을 갖는 하나 이상의 로보트 아암을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  28. 제 16 항에 있어서,
    로드락 챔버 및, 상기 로드락 챔버의 중앙에 배치되는 냉각 플레이트를 더 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  29. 탄소 또는 수소 함유 저 k 유전층을 포함하는 기판을 처리하는 축방향 플로우 다운스트림 플라즈마 처리 장치에 있어서,
    질소 및 산소를 포함하지 않은 가스를 포함하는 가스원,
    상기 질소 및 산소를 포함하지 않은 가스로부터 질소 함유 종 및 산소 함유 종을 감소시키도록 구성되는 상기 가스원과 유체 연통하는 가스 정화기;
    상기 가스 정화기와 유체 연통하는 플라즈마 생성부로서, 플라즈마 관 및, 상기 플라즈마 관에 결합되어 정화된 질소 및 산소를 포함하지 않은 가스로부터 상기 플라즈마 관 내에 플라즈마를 생성시키는 플라즈마 생성기를 포함하는 플라즈마 생성부;
    처리실의 입구에서 배플 플레이트 어셈블리를 포함하는 상기 플라즈마 관과 유체 연통하는 처리실;
    상기 처리실의 하부벽의 중앙에 위치되어, 산화 가스원과 유체 연통하는 가스 입구를 포함하는 배기관;
    상기 배기관에 결합되어, 상기 배기관 내에 산화 플라즈마를 생성시키도록 구성되는 애프터버너 어셈블리; 및
    상기 배기관에 결합되어, 상기 산화 플라즈마에 의해 제공되는 플라즈마 방전 영역 내에 포커스된 수집 옵틱을 포함하는 광 검출 시스템을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  30. 제 29 항에 있어서,
    상기 배기관은 상기 광 검출 시스템에 의해 모니터링되는 파장에 광학적으로 투명한 재료로 형성되는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  31. 제 29 항에 있어서,
    상기 플라즈마 생성기는 각 섹션이 상기 플라즈마 관을 수용하기 위한 개구를 가진 다수의 섹션으로 구획되는 마이크로웨이브 인클로우져; 및 미리 정해진 주파수의 마이크로웨이브 전력을 상기 다수의 섹션에 제공하는 수단을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  32. 제 29 항에 있어서,
    상기 애프터버너 어셈블리는 상기 배기관의 외부에 랩핑되는 RF 코일, 상기 RF 코일과 전기적으로 연결되는 매치박스 및, 상기 매치박스와 전기적으로 연결되는 전원을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  33. 제 29 항에 있어서,
    상기 질소 및 산소를 포함하지 않은 가스는 탄화수소 가스, 수소 또는 헬륨, 또는 이들 가스 중 하나 이상을 포함하는 조합을 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  34. 제 29 항에 있어서,
    상기 광 검출 시스템은 스펙트로미터 또는 모노크로메이터를 포함하는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  35. 제 29 항에 있어서,
    상기 기판의 아래에 위치되고, 상기 처리실의 하부 플레이트와 광학적 연결하는 가열 램프 어레이를 더 포함하는데, 상기 하부 플레이트는 상기 가열 램프 어레이에 의해 방출되는 가시 또는 적외선 방사에 투명한 재료로 형성되는 것을 특징으로 하는 축방향 플로우 다운스트림 플라즈마 처리 장치.
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 탄소 또는 수소 함유 저 k 유전층을 갖는 기판으로부터 포토레지스트 재료 및 에칭 후 잔류물을 제거하는 플라즈마 애싱 방법에 있어서,
    오염 레벨이 감소된 정화된 가스를 형성하도록 산소 및 질소를 포함하지 않은 가스를 가스 정화기 내로 흐르게 하는 단계;
    상기 정화된 가스로부터 플라즈마를 형성하는 단계;
    상기 플라즈마를 처리실 내로 도입하는 단계로서, 상기 처리실은 상기 플라즈마를 수용하는 배플 플레이트 어셈블리를 포함하며, 상기 배플 플레이트 어셈블리는 평면 하부 배플 플레이트의 위에 고정 위치되는 평면 상부 배플 플레이트를 포함하며, 상기 하부 배플 플레이트는 중심축의 주위에 배치된 다수의 개구부를 포함하며, 상기 다수의 개구부는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 밀도가 증대하는, 상기 도입 단계;
    상기 배플 플레이트 어셈블리를 통해 상기 플라즈마를 흐르게 하여, 기판으로부터 상기 포토레지스트 재료, 에칭 후 잔류물 및 휘발성 부산물을 제거하도록 상기 기판을 노출시키는 단계;
    제거된 상기 포토레지스트 재료, 에칭 후 잔류물 및 휘발성 부산물을 상기 처리실 내의 중앙에 위치된 배기관으로 배출하는 단계;
    상기 배기관 내로 산화 가스를 선택적으로 도입하는 단계;
    상기 산화 가스 및, 상기 제거된 포토레지스트 재료, 에칭 후 잔류물 및 휘발성 부산물로부터 플라즈마를 형성하는 단계;
    상기 배기관 플라즈마에 생성되는 방출 신호를 광학적으로 모니터링하는 단계; 및
    상기 방출 신호의 변화의 관찰로부터 상기 포토레지스트 및 에칭 후 잔류물의 엔드포인트(endpoint)를 검출하는 단계를 포함하는 것을 특징으로 하는 플라즈마 애싱 방법.
  60. 제 59 항에 있어서,
    상기 산소 및 질소를 포함하지 않는 가스는 수소 또는 헬륨 또는 아르곤 또는 네온, 또는 이들 가스 중 하나 이상을 포함하는 조합을 포함하는 것을 특징으로 하는 플라즈마 애싱 방법.
  61. 제 59 항에 있어서,
    상기 정화된 가스를 형성하도록 산소 및 질소를 포함하지 않은 가스를 가스 정화기 내로 흐르게 하는 단계는 상기 산소 및 질소를 포함하지 않은 가스 중의 H2O, O2, CO, CO2의 및 N2의 레벨을 10ppm 미만의 량까지 감소시키는 단계를 포함하는 것을 특징으로 하는 플라즈마 애싱 방법.
  62. 삭제
  63. 탄소 또는 수소 함유 저 k 유전층을 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔류물을 제거하는 플라즈마 애싱 방법에 있어서,
    오염 레벨이 감소된 정화된 가스를 형성하도록 산소 및 질소를 포함하지 않은 가스를 가스 정화기 내로 흐르게 하는 단계;
    상기 정화된 가스로부터 플라즈마를 형성하는 단계;
    상기 플라즈마를 처리실 내로 도입하는 단계로서, 상기 처리실은 상기 플라즈마를 수용하는 배플 플레이트 어셈블리를 포함하며, 상기 배플 플레이트 어셈블리는 평면 하부 배플 플레이트의 위에 고정 위치되는 평면 상부 배플 플레이트를 포함하며, 상기 하부 배플 플레이트는 중심축의 주위에 배치된 다수의 개구부를 포함하며, 상기 다수의 개구부의 각각의 치수는 상기 중심축으로부터 상기 하부 배플 플레이트의 외부 에지로 증대하는 단계;
    상기 배플 플레이트 어셈블리를 통해 상기 플라즈마를 흐르게 하여, 기판으로부터 상기 포토레지스트 재료, 에칭 후 잔류물 및 휘발성 부산물을 제거하도록 상기 기판을 노출시키는 단계;
    제거된 상기 포토레지스트 재료, 에칭 후 잔류물 및 휘발성 부산물을 상기 처리실의 하부벽 내의 중앙에 위치된 배기관으로 배출하는 단계;
    상기 배기관 내로 산화 가스를 선택적으로 도입하는 단계;
    상기 산화 가스 및, 상기 제거된 포토레지스트 재료, 에칭 후 잔류물 및 휘발성 부산물로부터 플라즈마를 형성하는 단계;
    상기 배기관 플라즈마에 생성되는 방출 신호를 광학적으로 모니터링하는 단계; 및
    상기 방출 신호의 변화의 관찰로부터 상기 포토레지스트 및 에칭 후 잔류물의 엔드포인트를 검출하는 단계를 포함하는 것을 특징으로 하는 플라즈마 애싱 방법.
  64. 제 63 항에 있어서,
    상기 산소 및 질소를 포함하지 않은 가스는 수소 또는 헬륨 또는 아르곤 또는 네온 또는 이들 가스 중 하나 이상을 포함하는 조합을 포함하는 것을 특징으로 하는 플라즈마 애싱 방법.
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
KR1020057022172A 2003-05-22 2004-05-21 플라즈마 처리 장치 및 플라즈마 애싱 방법 KR101127714B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/249,962 2003-05-22
US10/249,962 US8580076B2 (en) 2003-05-22 2003-05-22 Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
PCT/US2004/016076 WO2004107414A2 (en) 2003-05-22 2004-05-21 Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Publications (2)

Publication Number Publication Date
KR20060003121A KR20060003121A (ko) 2006-01-09
KR101127714B1 true KR101127714B1 (ko) 2012-03-23

Family

ID=33449401

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057022172A KR101127714B1 (ko) 2003-05-22 2004-05-21 플라즈마 처리 장치 및 플라즈마 애싱 방법

Country Status (8)

Country Link
US (1) US8580076B2 (ko)
EP (2) EP2278608B1 (ko)
JP (1) JP4780411B2 (ko)
KR (1) KR101127714B1 (ko)
CN (1) CN1795530B (ko)
DE (1) DE602004032225D1 (ko)
TW (1) TWI273655B (ko)
WO (1) WO2004107414A2 (ko)

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
CN101326613B (zh) * 2005-12-07 2010-12-08 艾克塞利斯技术公司 用于去除表面层而不损失基片的中等压力等离子体系统
CN100376723C (zh) * 2005-12-08 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 增进流场均匀性的屏蔽板
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
KR100796980B1 (ko) * 2007-01-17 2008-01-22 피에스케이 주식회사 기판 처리 장치 및 방법
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4952375B2 (ja) 2007-05-23 2012-06-13 株式会社明電舎 レジスト除去方法及びその装置
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
WO2009020881A1 (en) * 2007-08-07 2009-02-12 Pivotal Systems Corporation Method and apparatus for identifying the chemical composition of a gas
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101691558B1 (ko) * 2009-02-13 2016-12-30 갈리움 엔터프라이지즈 피티와이 엘티디 플라즈마 증착
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8307943B2 (en) 2010-07-29 2012-11-13 General Electric Company High pressure drop muffling system
GB2492849A (en) * 2010-07-29 2013-01-16 Gen Electric A high pressure drop muffling system
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
KR101201697B1 (ko) * 2010-09-20 2012-11-15 에스엔유 프리시젼 주식회사 모노머 냉각트랩 및 이를 이용하는 모노머 증착장치
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
JP5256328B2 (ja) * 2010-10-28 2013-08-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
TWI563552B (en) * 2011-04-28 2016-12-21 Lam Res Corp Substantially non-oxidizing plasma treatment devices and processes
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN103031543B (zh) * 2011-09-30 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种上电极及应用该上电极的等离子体加工设备
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8430202B1 (en) 2011-12-28 2013-04-30 General Electric Company Compact high-pressure exhaust muffling devices
US9057388B2 (en) * 2012-03-21 2015-06-16 International Business Machines Corporation Vacuum trap
CN103367510A (zh) * 2012-03-30 2013-10-23 生阳新材料科技有限公司 冷却板
US9399951B2 (en) 2012-04-17 2016-07-26 General Electric Company Modular louver system
US8511096B1 (en) 2012-04-17 2013-08-20 General Electric Company High bleed flow muffling system
US8550208B1 (en) 2012-04-23 2013-10-08 General Electric Company High pressure muffling devices
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9666415B2 (en) * 2015-02-11 2017-05-30 Ford Global Technologies, Llc Heated air plasma treatment
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US20190035607A1 (en) * 2016-01-26 2019-01-31 Jusung Engineering Co., Ltd. Substrate processing apparatus
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10435787B2 (en) * 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107460116A (zh) * 2017-08-31 2017-12-12 同济大学苏州研究院 一种用于精子优选的精子上游装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
US11515147B2 (en) 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
CN115666005B (zh) * 2022-12-15 2023-02-24 赛福仪器承德有限公司 等离子体蚀刻机

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0115033A2 (de) * 1982-12-30 1984-08-08 Hoechst Aktiengesellschaft Polyestermehrschichtfolie mit thermoplastisch prägbarer Innenschicht
WO1999054908A1 (en) * 1998-04-23 1999-10-28 Applied Materials, Inc. Crystalline gas distributor for semiconductor plasma etch chamber
KR20010098812A (ko) * 2000-04-26 2001-11-08 브라이언 알. 바흐맨 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12624A (en) * 1855-04-03 Island
US32300A (en) * 1861-05-14 Denis lenain
US3141739A (en) * 1959-05-14 1964-07-21 Nuclear Technical Services Cor Gas purification
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
JPS62294180A (ja) 1986-06-13 1987-12-21 Canon Inc プラズマcvd法による堆積膜形成装置
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JPH0631104A (ja) * 1992-07-21 1994-02-08 Toshiba Corp 高純度ガス精製方法
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
JP2922757B2 (ja) * 1993-09-10 1999-07-26 フュージョン システムズ コーポレイション 触媒反応器を有するレジスト酸化装置
US5498308A (en) 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
AU6595096A (en) * 1995-07-21 1997-02-18 Ultrapure Systems, Inc. Single gas purifier vessel and heat exchanger
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5928426A (en) 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
EP0868836A4 (en) 1996-09-24 2002-02-13 Fusion Systems Corp FLUORIDE STRIPPING AND REMOVAL OF RESIDUE IN A CALCINATOR WITH SAPPHIRE PLASMA TUBE FOR DOWNSTREAM TREATMENT
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6190507B1 (en) 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6368567B2 (en) 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6422002B1 (en) 1999-07-23 2002-07-23 The United States Of America As Represented By The United States Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
JP2003534112A (ja) * 2000-03-24 2003-11-18 アプライド マテリアルズ インコーポレイテッド 排出物中の危険ガスの処理
US6592817B1 (en) 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
WO2001082368A2 (en) * 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6538734B2 (en) 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
JP2002184758A (ja) * 2000-12-13 2002-06-28 Seiko Epson Corp ドライエッチング装置
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20020144706A1 (en) 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0115033A2 (de) * 1982-12-30 1984-08-08 Hoechst Aktiengesellschaft Polyestermehrschichtfolie mit thermoplastisch prägbarer Innenschicht
WO1999054908A1 (en) * 1998-04-23 1999-10-28 Applied Materials, Inc. Crystalline gas distributor for semiconductor plasma etch chamber
KR20010098812A (ko) * 2000-04-26 2001-11-08 브라이언 알. 바흐맨 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판

Also Published As

Publication number Publication date
WO2004107414A3 (en) 2005-08-11
JP4780411B2 (ja) 2011-09-28
WO2004107414A2 (en) 2004-12-09
EP2278608B1 (en) 2012-06-20
KR20060003121A (ko) 2006-01-09
CN1795530B (zh) 2010-12-01
EP2278608A3 (en) 2011-02-23
JP2007501535A (ja) 2007-01-25
CN1795530A (zh) 2006-06-28
EP1625605B1 (en) 2011-04-13
EP1625605A2 (en) 2006-02-15
TW200509246A (en) 2005-03-01
DE602004032225D1 (de) 2011-05-26
US20040238123A1 (en) 2004-12-02
EP2278608A2 (en) 2011-01-26
TWI273655B (en) 2007-02-11
US8580076B2 (en) 2013-11-12

Similar Documents

Publication Publication Date Title
KR101127714B1 (ko) 플라즈마 처리 장치 및 플라즈마 애싱 방법
KR101227199B1 (ko) 플라즈마 애싱 장치 및 엔드포인트 검출 방법
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
KR101170861B1 (ko) 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
EP1098189B1 (en) Method for detecting an end point for an oxygen free plasma process
US20130248113A1 (en) Substantially non-oxidizing plasma treatment devices and processes
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
KR100786887B1 (ko) 챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치
KR101392646B1 (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
KR101233059B1 (ko) 유전 물질을 처리하는 장치 및 프로세스
WO2012148370A1 (en) Substantially non-oxidizing plasma treatment devices and processes
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160224

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200227

Year of fee payment: 9