KR100503127B1 - 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치 - Google Patents

기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치 Download PDF

Info

Publication number
KR100503127B1
KR100503127B1 KR1019970055159A KR19970055159A KR100503127B1 KR 100503127 B1 KR100503127 B1 KR 100503127B1 KR 1019970055159 A KR1019970055159 A KR 1019970055159A KR 19970055159 A KR19970055159 A KR 19970055159A KR 100503127 B1 KR100503127 B1 KR 100503127B1
Authority
KR
South Korea
Prior art keywords
dpa
gas
plasma
chamber
fluid conduit
Prior art date
Application number
KR1019970055159A
Other languages
English (en)
Other versions
KR19980033190A (ko
Inventor
무쿨 켈카
케빈 페어바인
투토무 타나카
세바스탠 라옥스
해리 폰네칸티
데이비드 쳉
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980033190A publication Critical patent/KR19980033190A/ko
Application granted granted Critical
Publication of KR100503127B1 publication Critical patent/KR100503127B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01L21/205
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D45/00Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces
    • B01D45/04Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia
    • B01D45/06Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia by reversal of direction of flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/46Removing components of defined structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/12Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
    • B01J19/122Incoherent waves
    • B01J19/126Microwaves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges
    • H05H1/4622Microwave discharges using waveguides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/206Organic halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/10Treatment of gases
    • H05H2245/17Exhaust gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S55/00Gas separation
    • Y10S55/30Exhaust treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • General Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Biomedical Technology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은 기판처리 챔버의 배출라인의 불순물 증착을 최소로 하기 위한 장치에 관한 것이다. 본 발명에 따른 장치는 유체 도관을 그들 사이에 한정하는 대향 표면을 가진 제 1 및 제 2전극을 포함한다. 유체 도관은 인입구, 배출구 및 상기 인입구와 상기 배출구 사이의 수집 챔버를 포함한다. 본 발명에 따른 장치는 기판처리챔버의 배출물을 수용하기 위해 상기 기판처리챔버의 인입구에 접속된다. 수집 챔버는 유체 도관을 통해 흐르는 입자 물질을 수집하여 상기 입자 물질이 배출되는 것을 억제하도록 구성 및 배치된다. 마이크로파 플라즈마 발생 시스템은 유체 도관내의 에천트 가스로부터 플라즈마를 형성하기 위해 유체 도관내에 마이크로마 에너지를 공급한다. 플라즈마로부터의 성분은 유체 도관으로부터 펌핑될 수 있는 가스 생성물을 형성하기 위해 수집 챔버내에 수집된 입자 물질과 반응한다. 본 발명에 따른 장치는 수집 챔버내에 입자 수집을 개선하여, 입자 물질의 배출을 억제하기 위해 정전기 콜렉터를 더 포함할 수있다.

Description

기판처리장치의 인-시튜 진공 라인을 세정하기 위한 마이크로파 장치{MICROWAVE APPARATUS FOR IN-SITU VACUUM LINE CLEANING FOR SUBSTRATE PROCESSING EQUIPMENT}
본 발명은 일반적으로 반도체 처리장치 분야, 특히 처리챔버에 접속된 진공배출라인의 내부로부터 불순물 및 잔류물을 제거하기 위한 방법 및 장치와 처리챔버로부터 퍼플루오로화합물(PFC)(perfluorocompound) 가스 방출을 감소시키기 위한 방법 및 장치에 관한 것이다.
화학기상증착(CVD)공정동안, 증착가스는 처리된 기판의 표면상에 박막층을 형성하기 위해 처리챔버내에 방출된다. CVD공정동안 처리챔버의 벽과 같은 영역상에 원하지 않는 증착이 발생한다. 그러나, 이들 증착가스의 각각의 입자가 챔버에 거주하는 시간이 매우 짧기 때문에, 챔버내로 방출된 일부분의 입자만이 증착공정동안 소모되며 웨이퍼 또는 챔버벽중 한 부분에 증착된다.
소모되지 않은 가스 입자는 보통 "포어라인"으로서 언급되는 진공라인을 통해 부분적으로 반응된 화합물 및 반응 부산물과 함께 챔버 밖으로 펌핑된다. 이들 방출된 가스중 많은 화합물은 고반응상태에 있으며 및/또는 포어라인내에 원하지 않는 증착을 형성할 수 있는 잔류물 또는 입자 물질(particulate matter)을 포함한다. 주어진 시간에 분말 잔류물 및/또는 입자 물질의 증착 축적은 문제를 야기한다. 첫째, 상기 고형물은 진공 밀봉부가 파괴되어 포어라인이 주기적인 표준 세정동작동안 주위상태에 노출될때 문제를 야기하는 자연발화물질이다. 둘째, 포어라인에 많은 증착물질이 축적되는 경우, 포어라인 및/또는 그것과 연관된 진공펌프는 적절히 세정되지 않을 때 막힌다. 주기적으로 세정할 때 조차, 축적된 물질은 진공펌프의 정상동작을 방해하며 펌프의 사용기간을 단축시킨다. 또한, 고체물질은 포어라인으로부터 처리챔버로 역류할 수 있으며, 처리단계를 오염시켜 웨이퍼 수율에 악영향을 준다.
이들 문제를 극복하기 위해서, 포어라인의 내부표면은 증착물질을 제거하기 위해서 규칙적으로 세정되어야 한다. 이 과정은 처리챔버의 챔버벽 및 유사한 영역으로부터 원하지 않는 증착물질을 제거하기 위해 사용되는 표준 챔버세정동작동안 수행된다. 공통 챔버 세정기술은 챔버벽 및 다른 영역으로부터 증착된 물질을 제거하기 위해 플루오르와 같은 에칭가스의 사용을 포함한다. 이 에칭가스는 챔버내로 유입되며, 플라즈마는 에칭가스가 챔버벽으로부터의 증착물질과 반응하여 이 증착된 물질을 제거하도록 형성된다. 이러한 세정과정은 일반적으로 모든 웨이퍼 또는 모든 N 웨이퍼에 대한 증착 단계 사이에서 수행된다.
챔버벽으로부터 증착물질을 제거하는 것은 플라즈마가 증착물질에 근접한 플라즈마내의 영역에서 발생한다는 점에서 매우 간단하다. 포어라인으로부터 증착물질을 제거하는 것은 포어라인이 처리챔버의 하단에 있기 때문에 더 복잡하다. 고정된 시간주기에서, 처리챔버내의 대부분의 포인트들은 포어라인내의 포인트들보다 에천트 플루오르 원자와 더 접촉한다. 따라서, 고정된 시간주기에서, 챔버는 잔류 및 유사한 증착이 포어라인에 남아 있는 동안 세정공정에 의해 충분히 세정될 수 있다.
포어라인을 충분히 세정하기 위해서, 세정동작의 주기는 증가되어야 한다. 그러나, 세정동작의 길이를 증가시키는 것은 그것이 웨이퍼 처리량에 악영향을 미치기 때문에 바람직하지 않다. 또한, 이러한 잔류물 축적은 세정 단계로부터의 반응물이 포어라인에서 잔류물과 반응할 수 있는 상태에서 포어라인내로 방출될 수 있을 정도까지 세정될 수 있다. 몇몇의 시스템 및 응용에 있어서, 배출된 반응물의 수명은 포어라인의 끝부분 또는 중간부분에 조차 도달하기에 충분치 않다. 이들 시스템 및 응용에 있어서, 잔류물 축적은 중요한 관심사이다. 따라서, 반도체 처리 시스템에서 포어라인을 효과적으로 철저히 세정하기 위한 장치 및 이를 수행하는 방법에 대한 필요성이 존재한다.
포어라인을 세정하기 위해 사용되었던 한 방법은 막이 전극 표면상에 증착될 때, 배출가스에서 반응성 성분을 추출하기 위해 플라즈마 보강 CVD 기술을 사용하는 세정 시스템(scrubbing system)이다. 세정 시스템은 고체막으로서 반응물의 제거를 최대화하도록 설계되며 큰 표면영역의 나선형 전극을 사용한다. 나선형 전극은 송풍식 펌프 및 기계식 펌프 사이 포어라인의 끝 근처에 위치하는 제거가능한 흡수통(canister)내에 포함된다. 많은 양의 고체 오염물이 전극상에 축적된 후에, 흡수통은 처리 및 교체를 위해 제거될 수 있다.
시스템이 수집을 위해 증착된 고체물질에 대한 영역을 제공하는 전극의 큰 표면영역에 따르는 종래 방법은 문제점을 가진다. 전극의 큰 표면영역을 수용하기 위해서, 시스템은 필수적으로 커야 한다. 더욱이, 제거가능한 흡수통이 적절히 교체되어야 하는 일회용 제품이기 때문에 종래 세정 시스템은 고비용을 야기한다. 또한, 세정 시스템은 진공 포어라인의 시작부분의 하단에 위치하여 상기 포어라인의 시작부분에 축적되는 분말 물질 또는 입자 물질을 확실히 제거할 수 없다.
따라서, 포어라인을 세정하기 위한 개선된 방법 및 장치가 필요하게 되었다.
CVD 및 다른 기판처리장치에서 다른 중요한 문제는 포어라인을 통해 처리챔버로부터 배출된 가스 및 부산물의 형태에 관한 것이다. 예를들어, 세정 플라즈마내에서 가스의 분리가 완전하지 않고(몇몇의 응용에서 유입된 가스입자의 10%만이 분리된다) 세정가스의 각 입자가 챔버내에 거주하는 시간이 매우 짧기 때문에, 챔버내로 방출된 일부분의 입자만이 증착된 물질과 반응한다. 에천트 반응에 참여하지 않는 가스 입자는 소위 "포어라인"으로 언급되는 진공라인을 통해 에칭물질 및 반응성 부산물과 함께 챔버 밖으로 펌핑된다. 배출된 가스는 반도체공정에서 발생된 부산물이다.
세정 에천트 가스로서 반도체 산업에서 사용되는 많은 플루오르 함유가스는 퍼플루오르 화합물 또는 간단히 "PFC"로서 언급된다. 더 일반적으로 사용되는 몇몇의 PFC는 CF4, C2F6, NF3 및 SF6 또는 유사한 가스를 포함한다. 이들 가스는 긴 수명(예를들어, CF4는 50,000년)을 가지는 것으로 알려져 있으며, 지구 온난화효과를 유발한다. 따라서, 이들을 대기로 배출하는 것은 매우 해로워서 규제되어야 한다. 따라서, CVD 반응챔버와 같은 반도체 처리장치로부터 방출되는 PFC를 감소시키는 것은 중요하다.
본 발명의 목적은 입자 물질 및 다른 잔류물질이 기판처리장치의 배출라인에 축적되는 것을 방지하고 및/또는 상기 기판처리장치로부터 PFC 방출을 감소시키기 위한 장치를 제공하여 종래기술의 문제점을 해결하는데 있다.
본 발명의 다른 목적은 입자 감소 또는 PFC 방출 감소중 하나를 위해 최적화된 방법 및 장치를 제공하는데 있다.
본 발명의 또 다른 목적은 임의의 기판처리동작에 사용하기 위한, 입자 및 PFC 방출 감소 둘 다를 위해 최적화된 방법 및 장치를 제공하는데 있다.
본 발명은 공정중에 상기 목적을 달성한다. 즉, 바람직한 실시예에 있어서, 본 발명의 동작은 입자 물질이 포어라인내에 축적되는 것을 막기 위해 추가 처리시간을 소요하지 않고 PFC 방출을 적절히 감소시킨다. 또한, 몇몇의 바람직한 실시예에 있어서, 본 발명은 추가 가스 및/또는 소모품을 필요로 하지 않는다.
입자를 감소시키기 위해 최적화된 본 발명에 따른 장치의 일 실시예에 있어서, 한쌍의 용량성 결합 전극은 장치의 인입구 및 배출구 사이에 배치된 래비린드(labyrinth) 가스경로를 한정한다. 기판처리 챔버로부터 배출될 때(예를들어 CVD단계동안) 진공라인에 다른 방식으로 수집되는 분말 잔류물 및 다른 입자 물질은 가스 통로에서 트랩(trapped)된다. 이 장치는 가스 통로내에 플라즈마를 형성하기 위해서 전극에 전력을 공급하는 플라즈마 발생 시스템을 포함할 수 있다. 플라즈마는 세정 사이클 동안 가스통로를 통해 펌핑되는 비반응성 배출가스로부터 형성된다. 플라즈마로부터의 성분은 수집된 입자 물질과 반응하여, 상기 물질을 배출 라인을 통해, 그리고 배출라인 밖으로 용이하게 펌프되는 가스 생성물로 변환시킨다.
다른 실시예에 있어서, 본 발명의 장치는 유체 도관을 한정하는 대향표면을 가진 제 1 및 제 2부재를 포함한다. 유체 도관은 인입구와, 배출구와, 유체 도관을 통해 흐르는 입자 물질을 수집하고 이 부유성 물질이 수집 챔버로부터 빠져나가는 것을 막기 위해 구성 및 배열된 인입구 및 배출구 사이의 수집 챔버를 포함한다. 마이크로파 플라즈마 발생시스템은 상기 유체 도관내의 에천트 가스로부터 플라즈마를 형성하기 위해 장치에 효과적으로 접속된다. 상기 플라즈마로부터의 성분은 유체 도관으로부터 펌핑될 수 있는 가스 생성물을 형성하기 위해 수집 챔버에 수집된 입자 물질과 반응한다. 본 발명의 장치의 바람직한 실시예에 있어서, 제 1 및 제 2부재는 각각의 전극이며, 상기 장치는 전극표면상에 입자 물질을 수집하기 위해 두 개의 전극 사이에 전압을 공급하는 입자 트래핑 시스템을 포함한다. 플라즈마는 장치로부터 펌핑될 수 있는 가스 생성물로 상기 입자 물질을 변환하기 위해 전기적으로 수집된 입자 물질과 반응한다.
가스 통로는 인력이 통로를 통해 흐르는 입자 물질을 수집하고 이 입자 물질이 수집 챔버로부터 빠져나오는 것을 막기 위해 작용하도록 구성 및 배열되는 적어도 하나의 수집 챔버를 포함한다. 더욱이, 전압은 통로를 통해 흐르는 입자 물질을 수집 및 트랩하는데 도움이 되는 전극 사이의 전압을 만들기 위해 적어도 하나의 전극에 공급된다.
다른 실시예에 있어서, 본 발명은 반도체 처리장치로부터의 PFC 방출을 감소시키기 위해 설계된다. 이러한 장치는 유체 도관을 한정하는 용기 챔버를 포함한다. PFC 산화제는 유체 도관내에 있으며, 플라즈마 생성시스템은 상기 장치를 통해 펌핑되는 방출 PFC가스로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 방출 PFC가스를 덜 해로운 물에 녹는 비 PFC가스 생성물 및 부산물로 변환하기 위해 PFC 산화제와 반응한다.
본 발명의 장치는 실리콘 함유 필터내에 PFC 산화제를 제공한다. 플라즈마 생성시스템은 상기 장치로부터 펌핑되는 방출 PFC 가스로부터 플라즈마를 생성한다. 플라즈마로부터의 성분은 필터내에서 실리콘 함유 화합물과 반응하며 방출 PFC 가스를 덜 해로운 비PFC가스 생성물 및 부산물로 변환한다. 본 발명의 바람직한 실시예에 있어서, 실리콘 함유 화합물은 산화실리콘 물질이다.
본 발명의 다른 실시예에 있어서, 가스 실리콘원 및/또는 산소원은 PFC 산화제를 제공하기 위해 상기 장치내로 유입된다. 플라즈마는 가스 실리콘원 및/또는 산소원 및 PFC가스로부터 형성된다. 플라즈마로부터의 성분은 덜 해로운 비 PFC가스 생성물 및 부산물로 변환시키기 위해 반응한다.
본 발명의 또 다른 실시예에 있어서, 입자 트래핑 및 수집 시스템은 기판처리챔버에 접속된 배출라인내에 축적된 입자를 감소시키며, 이 수집된 입자 및 잔류물은 PFC 산화제를 제공한다. 입자 트래핑 및 수집 시스템은 이러한 잔류물을 생성하는 증착공정으로부터 실리콘 함유 잔류물을 트래핑한다. 플라즈마 생성 시스템은 방출 PFC 가스로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 방출 PFC 가스를 덜 해로운 비 PFC 가스 생성물 및 부산물로 변환시키기 위해 수집된 잔류물과 반응한다.
본 발명의 바람직한 실시예에 있어서, 한쌍의 용량성 접속 전극들은 래비린드(labyrinthal) 가스 통로를 형성한다. DC 또는 AC 전압은 통로내에 전계를 만들기 위해 전극에 공급된다. 전계는 통로를 통해 배출된 음전압 입자를 한 전극상으로 끌어당기며 다른 전극상에 양전하 입자를 끌어당긴다. 규정된 통로는 인력이 통로를 통해 배출된 입자 물질을 트래핑하기 위해 작용하는 적어도 하나의 영역(수집 챔버)을 포함한다. 통로를 통해 배출된 PFC 가스는 전극에 공급된 RF 전력에 영향을 받아서 플라즈마 상태로 여기된다. 플라즈마로부터의 성분은 PFC 가스를 비 PFC 가스 부산물로 변환하기 위해 수집 챔버내에 트랩된 실리콘 잔류물 입자와 반응한다.
본 발명은 첨부된 도면을 참조로하여 이하에서 상세히 설명될 것이다.
I. 예시적인 반도체 처리챔버
본 발명의 장치는 다양한 다른 반도체 처리장치와 관련하여 사용될 수 있다. 하나의 적절한 장치인 화학기상증착 장치는 단순화된 평행판 화학기상증착 시스템(10)의 단면도인 도 1에 도시되어 있다. 시스템(10)은 진공챔버(15)내의 서셉터(12)에 위치하는 웨이퍼(도시안됨)에 증착가스를 분산시키는 가스분배 매니폴드(11)를 포함한다. 서셉터(12)는 열에 매우 민감하다. 서셉터(12)(그리고 서셉터(12)의 상부면상에 지지된 웨이퍼)는 하부 로딩/오프-로딩 위치와 매니폴드(11)에 인접한 상부 처리위치(14) 사이에 제어가능하게 이동될 수 있다.
서셉터(12) 및 웨이퍼가 처리위치(14)에 있을 때, 그들은 환형 진공 매니폴드(24)내에 배출하는 다수의 이격된 홀(23)을 가진 격벽판(baffle plate)(17)에 의해 둘러싸인다. 처리동안, 매니폴드(11)에 대한 가스 인입구는 화살표(21)에 의해 지시된 것처럼 웨이퍼의 표면 전반에 걸쳐 균일하게 분배된다. 그리고 나서, 가스는 포트(23)를 통하여 원형 진공 매니폴드(24)로 배기되며, 진공 펌프 시스템(32)에 의해 진공 포어라인(31)을 통해 배기된다. 가스가 매니폴드(11)에 도달하기전에, 증착 및 캐리어 가스는 가스 라인(18)을 통해 혼합 챔버(19)로 공급되며, 여기서 증착 및 캐리어 가스는 접속된 다음 매니폴드(11)로 전달된다.
제어된 플라즈마는 RF 전력공급원(25)으로부터 매니폴드(11)에 공급된 RF에너지에 의해 웨이퍼에 인접하여 형성된다. 가스 분배 매니폴드(11)는 RF전극이며, 서셉터(12)는 접지된다. RF 전력공급원(25)은 챔버(15)내로 유입된 반응성 종(species)의 분해를 향상시키기 위해 매니폴드(11)에 단일 또는 혼합된 주파수 RF전력(또는 다른 적정 변수)중 하나를 공급할 수 있다.
원형 외부 램프 모듈(26)은 석영 윈도우(28)를 통해 조준된 환형형태의 광(27)을 서셉터(12)의 환형 외부 주변부상에 제공한다. 이러한 열분배는 서셉터의 고유 열손실 패턴을 보상하며 증착을 달성하기 위해 서셉터 및 웨이퍼를 균일하게 가열한다.
모터(도시안됨)는 처리위치(14) 및 하부 웨이퍼 로딩위치 사이에 서셉터(12)를 상승 및 하강시킨다. 가스라인(18) 및 RF 전력공급원(25)에 접속된 모터 가스공급밸브(도시안됨)는 단지 일부가 도시된 제어라인(36)위의 프로세서(34)에 의해 제어된다. 프로세서(34)는 메모리(38)와 같은 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램의 제어하에서 동작한다. 컴퓨터 프로그램은 타이밍, 가스 혼합, 챔버압력, 챔버온도, RF 전력레벨, 서셉터 부분 및 특정공정의 다른 파라미터를 명령한다.
전형적으로, 몇몇 또는 모든 챔버 라이닝, 가스 인입구 매니폴드 면판, 지지 핑거(13) 및 다양한 다른 반응기 하드웨어는 양극처리된 알루미늄과 같은 물질로 제조된다. 이러한 PECVD 장치의 예는 "열적 CVD/PECVD 반응기 및 이산화실리콘의 열적 화학기상증착과 인-시튜 다중단계 평탄화 공정 사용"이란 발명의 명칭으로, 공동으로 출원된 미합중국 특허 제 5,000,113호에 개시되어 있다.
전술한 반응기는 설명을 하기 위해 사용되었으며, 본 발명에서는 전자 사이클로티론 공진(ECR) 플라즈마 CVD 장치 및 유도결합 RF 고밀도 플라즈마 CVD 장치 등과 같은 다른 CVD 장치가 사용될 수 있다. 본 발명에서는 또한 열 CVD장치, 플라즈마 에칭장치, 물리 기상증착 장치 및 다른 기판처리장치가 사용될 수 있다. 본 발명의 장치 및 진공라인내에 증착 축적을 막기 위한 방법은 임의의 특정 반도체 처리장치 및 임의의 특정 증착 또는 에칭공정 및 방법에 제한되지 않는다.
II. 예시적인 본 발명의 사용
CVD 반응기(10)에 의해 실행되는 화학기상증착 공정과 같은 반도체 처리공정동안, 다양한 가스 폐기 생성물 및 오염물은 진공챔버(15)로부터 진공라인(31)내로 배출된다. 실행된 특정공정에 따라, 이들 배출 생성물은 그것이 포어라인을 통해 배출될때 포어라인 내에 잔류물 또는 유사한 분말 물질을 남기는 부분적으로 반응된 생성물 및 부산물과 같은 입자 물질 또는 PFC 가스중 하나 또는 둘다를 포함할 수 있다. 본 발명은 포어라인내에 입자 물질의 축적을 막으며 진공챔버(15)로부터 방출된 PFC 가스를 감소시킨다. 본 발명의 다른 실시예는 입자의 축적을 막거나 또는 PFC 가스방출을 감소시키기 위해 설계 및 사용된다. 또한, 본 발명의 몇몇의 실시예는 입자의 축적 및 PFC 방출을 감소시키기 위해 최적화된다.
본 발명의 장치에 적합한 도 1의 단순화된 CVD 장치의 단면도인 도 2에 도시된 것처럼, 본 발명의 장치는 배출가스원의 하단에 위치한다. 이 장치는 진공 포어라인의 부분에 접속되거나 또는 이 진공 포어라인의 부분을 교체할 수 있다. 도 2에 있어서, 하단 플라즈마 세정장치(40)(이하 "DPA(40)" 또는 "DPA"로 언급됨)는 진공라인(31)을 따라 진공 펌프시스템(32) 및 진공 매니폴드(24) 사이에 사용된다. 그것의 위치때문에, 진공챔버(15)로부터 배출된 가스는 DPA(40)를 통과한다. DPA(40)는 진공라인(31)을 따라 임의의 위치에 배치될 수 있으며, DPA(40)는 챔버(15)로부터 배출된 가스가 진공라인(31)의 임의의 부분을 통과하기 전에 DPA(40)을 통과하도록 배출 매니폴드(24)에 가능한 근접하여 배치된다.
또한, 도 3에 도시된 것처럼, 진공라인(31)에 두 개 이상의 DPA를 접속하는 것이 가능하다. 이러한 구조는 입자 및 잔류물 축적으로부터 진공펌프(32)를 더 보호하기 위해 입자를 수집하는 두 개의 DPA를 사용할 수 있다. 도 3에 도시된 구조에 있어서, 제 2DPA(42)는 펌프(32)앞 DPA(40)의 하단에 배치된다. 만일 임의의 입자 물질은 DPA(40)으로부터 누출된다면, 이 입자 물질은 DPA(42)내에서 트랩되어 가스형태로 변환될 수 있다. DPA(40, 42)는 스플리터(46)에 의해 분할되는 전력과 함께 단일 RF 전력원(44)에 의해 구동될 수 있다. 선택적으로, DPA(40, 42)는 개별 RF 전력공급원에 의해 각각 구동될 수 있으며, 처리챔버(10)에 접속된 주 RF 전력공급원으로부터 모두 구동될 수 있다.
이러한 두 개의 DPA구조는 방출된 PFC가스를 더 제한하기 위해 PFC감소를 위해 사용된 두 개의 DPA에 사용될 수 있다. 또는, 이와는 달리 두 개의 DPA 구조는 입자 감소를 위해 사용되는 하나의 DPA와 PFC감소를 위해 사용되는 하나의 DPA를 포함할 수 있다. 만일 PFC 감소 및 입자수집을 위해 사용되는 개별 DPA가 사용된다면, PFC 감소 DPA의 포어라인 하부에서 입자수집을 위해 최적화된 DPA의 위치를 설정하는 것은 바람직하다. 이러한 구조는 다음 섹션보다 전체 포어라인에 입자축적을 더 막을 수 있으며, PFC감소 DPA의 원하지 않는 입자축적을 감소시킬 수 있다.
포어라인의 입자축적을 감소시키고 PFC 방출을 감소시키기 위해 사용되는 DPA(40)의 다양한 구조 및 실시예는 이하에서 상세히 설명될 것이다. 이들 실시예는 예시적인 목적으로만 설명된다. 어쨌든, 본 발명은 이들 특정 구조 또는 실시예들에 제한되는 것으로 구성된다.
A. 입자감소를 위해 최적화된 DPA(40)의 특정 실시예
본 발명의 몇몇 실시예는 상기 입자가 챔버로부터 배출될때 포어라인내의 입자 및 잔류물 축적을 감소시키기 위해 구성 및 사용된다. 이러한 입자 물질의 예로서, 선구물질로서 시레인(SiH4), 질소(N2) 및 암모니아(NH3)를 사용하여 질화실리콘을 증착하는동안, SixNyHz, SixHy 및 원소 실리콘으로 이루어진 브라운 분말의 형태를 가진 잔류물과 원소 실리콘은 포어라인에서 관찰되었다. 이러한 잔류물 축적은 SiH4+N2+NH3의 반응중 절반반응 부산물로부터 발생된다. 실란기초 질화실리콘CVD 증착동작은 대부분의 입자를 발생시키는 기판처리 동작 사이에 있다. 다른 기판처리동작은 입자 축적 및 잔류물을 발생시킬 수 있다. 예를들어, 유사한 잔류물은 다이시레인(Si2H6) 또는 유기소스와 같은 다른 선구물질 가스 또는 액체를 사용하여 질화실리콘층의 증착동안 형성된다. 잔류물 축적은 다른 층 사이에 옥시질화막, 산화실리콘, 실리콘 카아바이드 및 비결정 실리콘막을 증착시키는 동안 발생할 수 있으며, 플라즈마 에칭 및 다른 처리단계동안 발생할 수 있다.
본 발명의 입자감소 실시예는 수집 챔버에서 입자 물질을 트래핑하여 플라즈마 상태로 진공포어라인을 통해 배출된 반응성 가스와 수집 챔버내의 잔류물 및 입자 물질을 여기시킴으로서 잔류물 및 입자 물질의 축적을 막는다. 플라즈마는 라인내에 증착물들을 형성하지 않고 DPA 및 진공라인을 통해 펌핑될 수 있은 가스 생성물 및 부산물을 형성하기 위해 수집 챔버내에 트랩되는 잔류물 및 입자 물질과 반응한다.
동작중, 증착가스가 진공라인(31)을 통해 진공챔버로부터 배출될 때, 가스로부터의 입자 물질 및 잔류물은 DPA내의 가스통로의 내부표면상에 증착된다. 입자 물질 및 잔류물의 제거는 DPA내에서 플라즈마를 형성하기 위해서 DPA(40)을 동작시킴으로서 수행될 수 있다. DPA는 에천트 가스가 챔버(15)로부터 배출될때 세정 사이클동안 플라즈마를 형성하기 위해 동작된다.
동작중, DPA(40)는 플라즈마 상태로 DPA를 통해 흐르는 배출(에천트)가스로부터 플라즈마를 형성하는 전계를 만든다. 플라즈마는 앞면을 통해 펌핑될 수 있는 가스 생성물 및 부산물로 DPA(40)내의 입자 물질의 분해를 촉진시켜서, 포어라인내에서 입자증착 또는 잔류물 축적을 막는다. 예를들어, DPA(40)내의 잔류물 축적이 질화실리콘 증착에 대하여 SixNyHz,SixHy 및 원소 실리콘을 포함하는 브라운 분말의 형태이고 세정동안 사용된 에천트 가스가 CF4 및 N2O 혼합물인 경우, DPA(40)에 의해 형성된 플라즈마는 SiFx, COF2, F2, SiOF2, CO 및 CO2, NO , O 및 O2와 같은 가스 성분으로 분해된다.
몇몇의 응용에서, DPA(40)내로 배출된 에천트 가스로부터 플라즈마를 만드는 것보다, DPA(40)는 기판처리챔버에 형성된 플라즈마를 실제적으로 유지한다. 즉, 이들 응용에서, 챔버내에 형성된 몇몇 또는 모든 플라즈마는 챔버의 하단에서 작용한다. 이것은 플라즈마가 고반응성 플루오르화 종으로부터 형성될때 챔버세정 동작동안 발생할 수 있다. 플라즈마로부터의 성분은 여기 또는 플라즈마 상태에서 챔버로부터 포어라인 및 DPA로 배출될 수 있다. 따라서, 이들 실시예에 있어서, DPA(40)의 전계는 플라즈마를 유지할 수 있다. 플라즈마가 DPA내에 유지되거나 또는 DPA내에서 생성되는지의 여부에 따라 DPA의 설계 및 동작은 보정될 필요가 없다.
DPA(40)가 세정 사이클 동안만 플라즈마를 형성 및/또는 유지하기 위해 동작하는동안, CVD 가스와 더 반응하도록 증착 및 세정 사이클 동안 플라즈마를 유지하는 것이 가능하다. 이러한 구조에 있어서, 추가 에천트 가스는 이하에 더 상세히 기술되는 바와 같이, 증착 사이클 동안 DPA의 하단 및 DPA내로 유입될 수 있다.
DPA(40)내의 일반적인 증착에 의해 잔류물을 수집하는 것외에, DPA(40)의 다양한 바람직한 실시예는 입자 물질이 DPA의 하단에 증착될 수 없도록 DPA내에서 챔버(15)로부터 배출된 입자 물질을 트래핑하기 위해 설계된다. 트래핑은 이하에 더 상세히 기술된 것처럼 기계, 정전기 및/또는 열적 트랩 메커니즘에 의해 행해진다. 일단 트래핑되면, 입자 물질은 진공라인(31)을 통해 펌핑되는 가스 부산물을 형성하기 위해 세정처리동안 플라즈마의 능동 종과 반응할 때까지 DPA(40)내에 남게된다.
이들 실시예에 있어서, DPA내에서 플라즈마를 형성하거나 또는 유지하는 전계를 가하지 않고 입자축적을 감소시키는 것이 가능하다. 이것은 에천트(플루오르)의 이온화가 세정 플라즈마에서 발생된 자유 기(free radical)가 DPA내로 배출될때 여기된 상태를 유지하기에 충분히 긴 수명을 가지도록 챔버세정동안 충분히 높을때 가능하다. 여기된 상태에서, 자유 기는 트래핑된 입자 물질과 반응하여 앞서 기술된 것처럼 가스 생성물로 입자 물질을 변환시킨다.
플라즈마는 용량성 결합 전극 또는 유도성 결합 코일에 HF 또는 RF 전력을 가하는 것과 같은 다양한 공지된 기술과 마이크로파 또는 ECR 기술을 사용하여 DPA(40)내에서 발생될 수 있다. 이들 방법에 대한 일부 특정 실시예는 이하에서 더 상세히 기술될 것이다. 이하에 기술된 각각의 실시예에서, DPA가 저비용으로 설계되는 것은 바람직하다. 즉, DPA(40)는 임의의 여분의 세정가스 또는 여분의 세정시간의 사용을 필요로하지 않고 포어라인내에 입자축적을 막도록 바람직하게 설계된다. 또한, DPA가 균일성, 입자 오염, 응력등과 같은 막 특성에 악영향을 미치지 않는 것은 바람직하다.
1. 바람직한 실시예
도 4(a)-4(f)는 잔류물 및 입자 축적을 감소시키기 위해 구성 및 최적화된 DPA(40)의 바람직한 실시예에 대한 다양한 투시 단면도이다. 도 4(a)는 문이 제거된 DPA(40)의 정면 투시도이다. 도 4(b)는 DPA의 전면 평면도(문이 제거된)이다. 도 4(c)는 DPA의 중심에서 평면을 따라 취해진 전면 투시 단면도이다. 도 4(d)는 DPA의 중심에서 평면을 따라 취해진 측면 투시 단면도이다. 도 4(e)는 DPA(40)의 접속부를 통해 전력을 공급하는 단면도이다. 도 4(f)는 부착된 문 및 핸들을 가진 DPA(40)의 투시도이다.
도 4(a) 내지 도 4(f)에 도시된 것처럼, DPA(40)는 인입구(50) 및 배출구(52)를 포함한다(도 4(c)에 도시됨). 인입구(50) 및 배출구 사이에는 한쌍의 대향하는 알루미늄 전극, 즉 캐소드(56) 및 애노드(58)에 의해 한정된 유체 도관(54)(가스통로)이 있다. DPA(40)는 결합 메커니즘(64, 66)(도 4(a))을 통해 포어라인(또는 처리챔버에 직접 접속됨)에 접속된다. 예를들어, DPA(40)는 결합 메커니즘(64)에 의해 챔버배출구에 직접 접속되며, 포어라인의 시작부는 결합 메커니즘(66)에서 DPA에 접속된다. 기판처리챔버로부터 포어라인으로 배출된 가스 및 입자 물질은 인입구(50)를 통해 DPA(40)으로 이동하여 배출구를 통해 배출된다.
제거가능한 알루미늄 문(63)(도 4(d))은 후면판(backplate)(65)(도 4(d))과 함께 가스통로(54)를 밀봉한다. 알루미늄 문(63) 및 후면판(65)은 전극(애노드)(58)에 전기적으로 접속된다. 전극(56, 58),문(63) 및 후면판(65)은 DPA(40)내로 배출된 가스가 누출되는 것을 막는 밀봉된 진공챔버(유체 도관(54))를 형성한다. 문(63) 및 후면판(65)은 DDPA를 통해 배출된 가스가 화살표(60)에 의해 표시된 가스흐름 경로밖으로 이동하는 것을 막는 밀봉부를 형성하기 위해 전극(56, 58)과 접촉하는 세라믹 절연판(71)(도 4(d))을 포함한다. 바람직한 실시예에 있어서, 테프론 쿠션(73)(도 4(d))은 알루미늄 문 및 세라믹 절연층(71) 사이의 문(63)에 포함된다. 테프론 쿠션(73)은 세라믹 절연층(71)보다 높은 열팽창을 가지며 상대적으로 연성이며, 이는 파괴없이 팽창될 수 있게 한다. DPA(40)가 플라즈마를 생성하기 위해 활성화될 때, 테프론 층(73)이 확장되게 하며 전극(56, 58)에 대해 세라믹 절연층(71)에 압력을 가하는 열이 발생된다. 이것은 가스가 DPA로부터 누출되지 않도록 문(63)을 밀봉하는데 도움이 된다.
문(63)은 나사(59)를 통해 DPA(40)에 부착되며(도 4(f)) 나사를 제거함으로서 핸들(67)과 함께 제거될 수 있다(도 4(f)). 일단 제거되면, DPA(40)의 내부는 알콜과 같은 습식용액으로 세정될 수 있으며, 그리고/또는 입자축적 또는 잔류물을 제거하기 위해 진공이 될 수 있다. 바람직한 실시예에 있어서, 핸들(67)은 플라스틱과 같은 열도전이 불량한 물질로 만들어진다.
전극(56, 58)은 캐소드 리테이너로 언급된 4개의 절연 플러그(바람직한 실시예에서 세라믹으로 만들어짐)(61)(도 4(a))에 의해 서로 전기적으로 분리된다. 도면에 도시된 것처럼, 전극(56, 58)은 캐소드 리테이너의 일부분을 수용하기 위해 홈을 가진다. 두 개의 캐소드 리테이너(61)는 DPA의 정면에 배치하며, 다른 두 개의 캐소드 리테이너는 DPA의 뒷면에 배치된다. 한 실시예에 있어서, 캐소드 리테이너(61)는 대략 1cm의 두께를 가진다. 따라서, 캐소드 리테이너(61)는 가스통로(54)의 전체폭을 확장하지 않으며 통로를 통해 가스흐름을 차단하지 않는다.
DPA에 있어서, 가스는 화살표(60)에 의해 표시된 것처럼 유체 도관(54)을 흐른다(도 4(b)). 유체 도관(54)은 두 개의 거울상 가스흐름 경로를 포함한다. 캐소드(56)의 돌출부(흐름 분할기(57)--도 4(b)에 도시됨)는 가스가 두 개의 흐름 경로중 하나로 흐르게 한다. 대략 가스흐름의 절반은 DPA(40)의 좌측 통로로 흐름이 전환되며, 다른 절반은 장치의 우측으로 흐름이 전환된다.
유체 도관(54)은 방출 가스 스트림에 존재하는 입자, 예를들어 기판증착 또는 다른 형태의 처리단계동안 발생된 입자를 수집 및 트랩(trap)하기 위해 부분적으로 인력에 의존하는 입자 수집영역(62)(도 4(a))을 포함하는 래비린드/서팬타인(labyrinthal/serpentine) 통로이다. 각각의 입자 수집영역(62)은 입자가 DPA로부터 입자를 꺼내는 방출가스 흐름경로에도 불구하고 인력에 의해 "U"자형 부분의 하부영역내로 수집되도록 배치된 가스 통로의 "U"자형 세그먼트이다. 가스는 도 4(c)에 도시된 것처럼 캐소드(56) 또는 애노드(58)중 하나의 돌출 핑거(79)에 의해 각각의 "U"자형 부분을 통해 흐른다. 이들 입자 수집영역(62)은 인력 또는 기계적 트랩으로서 언급되며 이하에서 더 상세히 기술될 것이다.
전극(56, 58)은 평행판 플라즈마 발생시스템 및 정전기 입자 콜렉터(collector)를 형성한다. 정전기 입자 트랩 부분으로서, DC전력은 전극(56)에 인가되며, 전극(58)은 전기적으로 충전된 배출된 입자 물질을 끌어당기기 위해 접지된다. 가해진 DC전력은 한 전극에 DPA를 통해 배출된 양으로 하전된 입자를 끌어당기며 다른 전극에 음으로 하전된 입자를 끌어당기는 전계를 만든다. 접지될 때, 전극(58)은 RF차폐용 패러데이 케이지로서 작용한다. 플라즈마 발생시스템의 일부분으로서, RF전력은 전극(56)에 인가된다. 인가된 RF전력은 DPA를 통해 흐르는 방출가스로부터 플라즈마를 형성하여 인력 트랩영역(62)내에 또는 전극(56,58)의 표면을 따라 수집된 입자 및 잔류물을 에칭한다.
도 5는 전극(56, 58)을 포함하는 전기회로를 도시한 다이어그램이다. 도 5에 도시된 것처럼, 전극(56)은 DC 발생기(100) 및 RF 발생기(102)에 접속되며, 전극(58)은 접지된다. DC 발생기(100)는 정전기 트랩에 의해 요구된 DC 전압을 공급하며, RF 발생기(102)는 플라즈마를 형성하기 위해 RF전력을 공급한다. RF 매칭회로(104)는 반사된 전력을 최소화하기 위해 50??으로 발생기 출력 임피던스를 매칭시키며, DC/RF필터(저역통과 RC필터)(106)는 RF 신호간섭으로부터 DC전력공급원(100)을 분리시킨다. RF 발생기(102)는 도 2에 도시된 RF 전력공급원(25)과 동일한 전력공급원일 수 있으며, DPA(40)만을 구동하는 개별 RF 전력공급원일 수 있다. 더욱이, 다중 처리챔버가 세정방에 존재한다면, 챔버에 접속된 다중 DPA는 적정수의 RF전력 스플리터에 접속된 전용 개별 DPA RF 전력공급원에 의해 구동될 수 있다.
DPA(40)를 통해 흐르며 DPA(40)내에 증착된 물질의 완전한 반응을 위하여, DPA는 플라즈마를 형성 및/또는 유지하기에 충분한 레벨에서 RF 전력공급원(예를들어, RF 발생기(102))에 의해 구동되어야 한다. 일반적으로, 50-2000와트 사이 또는 그 이상의 전력레벨은 캐소드의 표면영역 및 플라즈마의 적정 세기에 따라 사용될 수 있다. 캐소드(58)의 표면영역이 약 120in2인 실시예에 있어서, 750-1000와트(6.31 및 8.42W/in2) 사이의 전력레벨이 사용된다. 선택된 실제 전력레벨은 강한 플라즈마를 형성하기 위해 고전력레벨을 사용하는 요구와 에너지 비용을 저장하기 위해 저전력레벨을 사용하는 요구의 균형을 맞춤으로서 결정된다.
DPA(40)를 구동하는 전력공급원은 약 50KHz 내지 약 200MHz 또는 그이상의 주파수 범위에서 동작되며 바람직하게 50KHz 내지 60MHz의 범위에서 동작된다. 일반적으로, 저주파수 전력공급은 고주파수 전력공급보다 구입하고 동작시키기에 비용이 덜 든다. 따라서, 가장 바람직한 실시예에 있어서, DPA(40)을 구동시키는 전력공급원은 325KHz 또는 그이하의 RF주파수를 제공하도록 설계된다. RF 공급전력은 단일 주파수 RF 소오스 또는 혼합된 주파수 RF 소오스 중 하나로부터 공급된다. 공급전력의 최적 전력출력 및 동작 주파수는 DPA가 사용되는 응용과 비용문제와 함께 DPA(40)에서 처리될 가스의 용량을 따른다.
DPA(40)에 대한 전기접속은 피이스(PFD)(68)를 경유하여 전력공급원을 통해 만들어진다. PFD(68)은 PFD(68)의 확대 측입면도인 도 4(e)에 상세히 도시된다. PFD(68)는 커넥터(70)를 통해 캐소드(56)에 DC 발생기(100) 및 RF 발생기(102)에 접속한다. 바람직한 실시예에 있어서, 커넥터(7)는 캐소드(56)내로 직접 접속되는 드레드 나사(threaded screw)이다.
RF접속부의 부식을 감소시키고 나사(70) 및 캐소드(56) 사이에 충분한 전기접속을 유지하기 위해, 상기 접속은 대기압력에서 만들어져야 한다. 대기압력의 영역은 영역(76)으로 도시되며, 캐소드(56)를 포함하는 드레드 나사(70)의 영역을 포함한다. o-링(78)은 캐소드(56) 및 영역(76) 사이의 밀봉부(seal)를 유지시킨다. o-링(78)이 DPA의 동작중에 발생될 수 있는 강한 열에 의해 녹는 것을 막기 위해, 특별히 설계된 영역은 캐소드(56)의 주요부분으로부터 o-링(78)이 매립되는 캐소드(56) 영역(영역(56B)으로 열전달을 감소시키기위해 제공된다. 이 특별히 지정된 영역은 진공영역(80) 및 캐소드(56)의 얇은 부분(82)을 포함한다. 캐소드(56)의 영역(56A)에 발생 및/또는 전달된 열은 진공영역(80)이 캐소드 영역(56A)으로부터 캐소드 영역(56B)을 대부분 절연시키기 때문에 영역(56B)에 용이하게 전달되지 않는다. 영역(56B)으로부터 영역(56A)으로 RF 및 DC신호를 전달하는 캐소드(56)의 작은 부분은 영역(56A)에서 영역(56B)으로 절단된 열을 감소시키기에 충분히 얇다.
접속부를 통한 전력공급원은 알루미늄 하우징(72)내에 수용되며 테프론 판(73) 및 테프론 링(74, 75, 81)에 의해 하우징(72) 및 도어(63)로부터 분리된다. 하우징(72)은 애노드(58) 및 도어(63)에 전기적으로 접속된다. 플랫 와셔(flat washer)(84), 로크 와셔(lock washer)(85) 및 너트(86) 어셈블리는 캐소드(56)의 영역(56B)에 테프론 링(75) 및 테프론 라이닝(73)의 클램핑을 허용한다. 이 클램핑 힘은 충분한 밀봉을 유지하기 위해 o-링(78)을 압축한다. 제 2 o-링인 o-링(77)은 접속부(68)를 경유하여 전력공급원을 통해 가스가 누출되지 않도록 테프론 라이닝(73) 및 도어(63) 사이의 밀봉을 유지한다.
표준동작중, DC전력은 DPA(40)의 입자 트래핑 용량을 강화하기 위해 CVD단계와 같은 기판처리단계동안 캐소드(56)에 공급된다. 전극(56)에 공급된 전압은 응용에 따라 변화한다. 전형적으로, 100-3000볼트 사이의 전압을 가하면 효과적인 트랩 메커니즘이 만들어진다. 이러한 DC전압은 챔버동작 모든시간에 공급될 수 있으며(처리 및 세정단계), 또는 DPA(40)가 동작될 때 챔버 세정동작중에 정지될 수 있다.
질화실리콘이 SiH4, N2 및 NH3의 처리가스로부터 증착되는 기판처리동작중에, 발생된 입자의 대략 60%ㅁ10%가 양으로 하전되며 발생된 입자의 대략 40%ㅁ10%가 음으로 하전되는 것이 실험에 의해 결정된다. 도 6에 도시된 것처럼, DPA(40)내의 500볼트/cm DC전압의 생성이 기판처리동작에 사용하기 위한 최적 정전기 콜렉터를 제공한다는 것은 실험에 의해 결정된다.
도 6에 있어서, 라인(110)은 전극 사이에 200-1200볼트/cm 전계를 생성함으로서 양으로 하전된 전극상에 수집된 음으로 하전된 입자의 전체 축적을 나타내며, 라인(112)은 접지된 전극상에 수집된 양으로 하전된 입자의 전체 축적을 나타낸다. 라인(114)은 트랩된 입자의 전체 축적을 나타낸다. 500볼트이하의 전압에서, 더 큰 입자는 정전기 콜렉터에 의해 효과적으로 트랩될 수 없으며, 고 전압의 생성은 입자 플라즈마를 형성한다. 이러한 플라즈마 형성은 발생된 전계의 특성을 변화시키며 트래핑 효율성을 저하시킨다.
정전기 콜렉터 및 기계적인(인력) 트랩 결합은 진공라인(31)내에 형성된 증착을 막기 위해 효과적인 메커니즘을 제공한다. 인력 트랩(gravity trap)은 입자가 인력에 의해 내부 튜브(62)내에서 유지되기 때문에 방출가스 스트림에 존재하는 상대적으로 큰 입자를 트랩할 때 유효하다. 다른 한편으로, 정전기 트랩은 인력 트랩에 의해 수집되지 않는 방출가스 스트림에서 아주 작은 수집 및 트랩입자에 유효하다.
예로서, 전술한 질화실리콘의 증착에 있어서는 1??m크기와 1mm 및 그이상의 직경의 입자가 관찰된다. 이들 입자가 배출라인에 있을 때, 중요한 두 힘, 즉 인력(Fg) 및 중립 항력(Fnd)이 실제적으로 작용한다. 100??m 이상의 직경을 가진 입자와 같은 큰 입자 물질에 대해서, 주요 상호작용은 인력이이서, 기계적인 트랩은 특히 유효하다. 그러나, 작은 입자에 대해서, 가스의 항력은 인력보다 클 수 있다. 결과적으로, 정전기 트랩의 두 전극 사이에서 발생된 전계는 입자의 궤도에 수직한 보충힘(Felec)을 공급한다. 이 보충힘(Felec)은 10??m의 직경이하의 입자와 같은 매우 작은 입자에 대한 인력 및 항력보다 클 수 있어서, 매우높은 수집능력을 야기할 수 있다.
도 7은 본 발명의 일 실시예에 따른 입자상의 중립 항력과 비교된 정전기 및 인력의 효과를 도시한 그래프이다. 라인(122)은 인력을 도시하며, 라인(124)은 정전기력을 도시하며, 라인(126)은 입자의 중립 항력을 도시한다. 도시된 것처럼, 더 작은 입자의 경우, 정전기력(124)이 인력(122)보다 크다. 큰 입자에 대해, 인력(122)은 정전기력(124)보다 크다. 이러한 실시예에 있어서, 이 힘은 약 30마이크로미터의 직경을 가진 입자가 주로 정전기 콜렉터에 의해 수집되도록 하며 약 30마이크로미터 이상의 입자가 기계적인 트랩에 의해 수집되게 한다. 정전기 또는 인력이 주어진 입자를 조절하는 것의 여부에 관계없이, 도 7의 초점은 DPA(40)가 정전기력(124) 또는 인력(122)중 적어도 하나가 주어진 크기의 입자에 대한 중립 항력보다 크도록 설계되는 것이다. 이러한 경우에, 정전기 및 기계적인 트랩 콜렉터의 결합은 다양한 크기의 입자가 효과적으로 접속되도록 한다.
제 4힘인 열 이동력(thermophoretic force)(Fth)은 DPA(40)내의 입자에 작용한다. 열 이동력은 온도 변화도(gradient) 때문에 DPA내에서 만들어진다. 이러한 온도 변화도는 플라즈마 보조 세정공정동안 플라즈마에 형성에 의해 만들어질 수 있다. 플라즈마 형성동안, 캐소드(56)는 이온충격 및 주울효과 때문에 애노드(58)보다 고온이다. 일 실시예에 있어서, 캐소드(56) 및 애노드(58) 사이의 온도 변화도는 150ㅀ의 가스온도에서 200??/cm이다. 이러한 실시예에 있어서의 열 이동력은 라인(128)으로 도 7에 도시된다. 열 이동력(128)이 0.1 내지 100??m 사이의 입자를 트랩하기에 충분히 강하지 않은 경우, 그것은 하전 및 비하전 입자를 트래핑한다. 또한, 당업자는 큰 열 이동력이 입자 및 잔류물을 더 용이하게 트래핑하기 위해 만들어지도록 가장 큰 온도 변화도를 만들 수 있다.
전술한 것처럼, 챔버 세정동작중에, RF 에너지는 DPA내로 배출된 방출 에칭가스로부터 플라즈마를 형성 및/또는 유지하기 위해 전극(56)에 공급된다. 플라즈마로부터의 성분은 하나 이상의 이전 기판처리장치로부터 DPA내에 트래핑된 입자 및 잔류물과 반응한다. 바람직하게, 에천트 가스가 DPA(이러한 구조에서, DPA(40)는 수동소자보다는 능동소자로 언급된다)를 통해 배출되지 않는 시간동안 플라즈마를 형성하기 위한 RF 에너지의 공급이 중단된다. DPA(40)가 능동소자로 구성될 때 DPA(40)의 타이밍 제어(예를들어, RF 전력공급원(102) 및/또는 DC 전력공급원(100)의 ON 및 OFF 스위칭)는 도 1에 도시된 제어라인(36)을 통해 전송된 제어신호의 적용을 통해 프로세서(34)에 의해 수행된다. 비록 도 12에 도시되지 않았지만, 이러한 제어라인은 상기 구조에서 DPA(40)에 접속된다.
다른 실시예에 있어서, 세정동작중에 챔버(15)로부터 배출된 에천트 가스외에 이 에천트가스로부터 분리된 에천트 가스의 유입을 위해 DPA(40)에 가스공급라인을 직접 제공하는 것은 바람직하다. 이러한 특정 가스공급라인은 인입구(50)에 또는 인입구(50) 근처에 DPA에 접속될 수 있다. 그것은 또한 DPA의 하단에 있는 포어라인에 직접 접속될 수 있다. 만일 이러한 개별 가스라인이 제공된다면, 에천트 가스의 추가 공급은 세정순서동안, 증착 또는 다른 기판처리단계동안 DPA에 공급될 수 있으며, 그것은 증착 및 세정 사이클 동안 연속적으로 공급될 수 있다. 에천트 가스가 기판처리단계동안 DPA에 공급되는 실시예에 있어서, RF 에너지는 플라즈마를 형성하기 위해 기판처리단계동안 전극(56)에 공급되어 DPA내의 증착물질을 더 에칭한다.
입자를 트래핑하고 증착 축적을 감소시킬 때 DPA(40)의 유효성은 챔버(15)로부터 발생 및 배출되는 입자의 양을 포함하는 다수의 인자와, DPA(40)를 통해 흐르는 방출가스의 비율과, 전극(56, 58) 사이에 만들어진 전계와, 전극(56, 58)의 표면영역과, 세정과정동안 발생된 플라즈마의 세기에 의존한다.
또한, 다수의 다른 설계시 고려할 문제는 DPA(40)의 유효성을 증가시키는 것이다. 예를들어, 바람직한 실시예에 있어서, 흐름 분할기(57)(도 4(a))의 상부면은 단일 에지로 날카롭게 구부러진다. 실험은 가스흐름이 DPA내의 장벽 또는 다른 표면과 접촉하는 위치에서 증착축적이 더 신속하게 수집되는 것을 나타낸다. 흐름 분할기(57)의 단일 에지에 수직한 인입구(50)를 통해 유입되는 방출가스와 접속된 흐름 분할기(57)의 경사진 표면은 인입구(50)를 통해 방출가스 스트림 입력 DPA(40)을 위한 작은 접촉영역을 제공하여 흐름 분할기(57)의 상부표면상에 증착을 최소화한다. 경사진 표면(예를들어, 둥글게 된 표면)없이 수행되는 실험에 있어서, 분할기(57)의 상부면상에 입자가 축적된다. 이러한 축적의 양에 따라, 축적은 파괴되어 수집영역(62)으로부터 떨어진다. 만일 입자축적이 충분히 크다면, 그것은 정상적인 세정 사이클 동안 형성된 플라즈마에 의해 발산되지 않을 수 있다. 이것은 가스통로를 차단할 수 있다. 또한, 만일 축적이 절연물질이라면(예를들어, 질화실리콘 증착으로부터의 축적), 이 축적은 플라즈마 발생을 간섭하여 형성된 플라즈마의 세기를 감소시킨다. 이것은 증착된 물질을 에칭시키며 통로를 차단시킬 수 있다. 바람직하게, 흐름 분할기(57)의 측면은 이러한 축적을 막기 위해서 30도 또는 그 이하의 각을 충족한다. 형성된 각은 약 10도 및 그 이하일 수 있다.
DPA(40)중 임의의 하나의 특정 영역에서 입자 축적을 감소시키는 다른 설계 특성은 인입구(50)와 가스 흐름이 좌측 및 우측 흐름으로 분배되는 점 사이의 가스통로(54)의 부분에 대한 벽의 윤곽이다. 예각을 가진 것과는 반대로, 가스 통로 안으로 둔각을 가진 인입구(50)는 통로로 흐르는 가스의 분배를 확실히한다. 입구(50)로부터 유체 도관(54)으로의 윤곽 전이는 프로파일 매니폴드로서 언급된다.
프로파일 매니폴드를 통해 흐르는 가스는 가스통로(54)의 각각의 좌측 및 우측부분으로 가스의 흐름을 동일하게 분배되어, 다른 것에 비교하여 통로의 한 부분의 입자 축적을 막는다. 프로파일 매니폴드는 전극의 전체폭 전반에 걸처 가스분배를 확실히 한다. 프로파일 매니폴드의 윤곽은 통로표면(55)으로서 도 4(c) 및 도 4(d)에 상세히 도시되어 있다.
균일한 플라즈마의 형성은 DPA(40)내에 수집된 입자 및 잔류물을 완전히 제거할 수 있다. 전극(56)의 표면은 전극(58)의 표면영역과 대략 동일하다. 다양한 위치에서 3:1 및 1.3:1 사이의 전극 사이의 표면영역의 차이를 가진 DPA에서 수행된 실험은 평탄하지 않는 표면영역 전극을 가진 플라즈마를 형성하는 것이 가능하며 이러한 플라즈마가 DPA내에 수집된 물질을 충분히 제거할 수 있는 다는 것을 나타낸다. 그러나, 이러한 실험에 있어서, 입자 및 잔류물의 축적은 전극표면영역비가 3:1보다 1.3:1에 근접한 DPA의 영역에서 더 제거된다. 캐소드(56)의 표면영역이 애노드의 표면영역(118.79in2vs.123.31in2)(58)의 95퍼센트내에 있는 추가 실험에 있어서, 플라즈마 형성은 더 강하며 입자제거는 더 효율적이다. 다른 실시예에 있어서, 캐소드의 표면영역은 애노드의 표면영역과 동일하다.
다른 플라즈마 균일성 문제는 전극(58)에 관련하여 전극(56)의 공간을 포함한다. 이 공간은 다음과 같은 예외를 가진 DPA(40)의 가스통로 전반에 걸쳐 일정하게 유지된다. 플라즈마의 전압항복은 전극(PㅧD) 사이의 압력 및 거리의 함수이다. DPA(40)를 통해 흐르는 방출가스 스트림에 대해, 인입구(50) 근처의 압력이 배출구(52) 근처의 압력보다 약간 큰 것은 필요하다. 바람직한 실시예에서 일정한 전압 항복을 유지하기 위해서, DPA(40)의 하부에 있는 전극 사이와 DPA(40)의 상부에 있는 전극 사이에 더 큰 공간이 도입된다. 이 공간변형은 도 4(b)에 도시된 것처럼 DPA의 상부에 두꺼운 전극(56) 및/또는 전극(58)중 하나 또는 둘다의 돌출 핑거를 제조함으로써 행해질 수 있다. 도 4(b)에 있어서, DPA(40)의 상부에 있는 캐소드(56) 및 애노드(58)의 핑거는 각각 a 및 b의 두께를 가진다. DPA(40)의 하부에 있는 대응하는 부분은 각각 c 및 d의 두께를 가지며, 여기서 a>c 및 b>d이다.
DPA내의 압력은 플라즈마 형성에 영향을 준다. 일반적으로, 고 압력은 더 효과적인 플라즈마 에칭을 야기한다. 따라서, 고압력에서 DPA를 동작시키는 것은 동작 비용절약을 야기하는 저압력보다 더 낮은 전력을 요구한다. 고 DPA 압력은 DPA후 포어라인 내에 드로틀 밸브를 배치함으로써 얻어질 수 있다. 이러한 구조에 있어서, DPA의 하단에 단일 드로틀 밸브 또는 바람직하게는 이중 드로틀 밸브를 사용하는 것은 바람직하다. DPA의 상단의 한 드로틀 밸브는 챔버압력을 제어하며, DPA의 하단의 드로틀 밸브는 처리챔버내의 압력에 무관하게 DPA 압력을 제어한다.
DPA의 하단에 드로틀 밸브가 없다면, DPA내의 압력은 포어라인의 압력과 일반적으로 동일하다(약 4.5 - 6torr에서 동작되는 몇몇의 PECVD 처리장치에서 0.8-2.5torr 사이). 그러나, DPA의 하단에 있는 드로틀 밸드에 있어서, DPA내의 압력은 넓은 범위상에서 제어될 수 있다. 물론, DPA내의 압력은 챔버로부터 방출 가스 스트림을 유지하기 위해 챔버내의 압력보다 작아야 한다. DPA내에 압력을 증가시키는 것은 인력 트랩의 효율을 감소시키는 DPA내로 배출된 입자의 중립 항력을 증가시키는 원하는 않은 측면효과를 가진다. 따라서, DPA내에 설정된 실제 압력은 입자 트랩 문제와 플라즈마 효율성 문제의 균형을 유지하며 DPA가 사용되는 특정 응용을 따른다.
압력 감지 스위치(53)(도 4(d))는 DPA(40)내의 압력을 모니터하기 위해 포함될 수 있다. DPA 축적내의 압력이 바람직하지 않은 레벨에 있는 경우, 스위치(53)는 DPA 및 기판처리챔버(10) 둘 다를 턴-오프시키기 위해 프로세서(34)에 신호를 전송한다. 바림직한 실시예에 있어서, 스위치(53)는 DPA(40)내의 압력이 1/2 대기압(360torr)이상 증가할 때 운전정지를 초기화하는 1/2 대기압 스위치이다.
DPA내에 플라즈마를 형성하기 위해 사용된 RF전력, 캐소드의 크기, DPA가 동작되는 시간주기 및 다른 인자에 따라, DPA(40)는 상당한 양의 열을 생성할 수 있다. 열을 발산하기 위해, DPA(40)는 도 4(e)에 도시된 것처럼 열 발산핀(69)을 포함할 수 있다. 열 발산 핀(heat dissipation fin)(69)은 애노드(58)에 부착된다.
열은 이온충돌 및 주울효과에 의해 캐소드(56)에서 플라즈마를 형성하는 동안 발생된다. 결과적으로, 애노드(58)는 캐소드(56)보다 더 냉각된다. 선택적으로, 애노드(68)는 세라믹 캐소드 리테이너(61), 세라믹 라이닝 판(71)(뒷면 및 문에서) 및 PFD(68)의 테프론 절연링에 의해 캐소드(56)로부터 열적으로 절연된다. 핀(69)은 애노드를 더 냉각시킨다. 핀(69)은 알루미늄과 같은 열도전물질로 구성되며 냉각 DPA(40)의 바람직한 방법이며, 여기서 그들은 수동냉각장치이다. DPA(40)의 외부가 적어도 75?? 또는 그 이하로 냉각되도록 핀(69)을 설계하는 것은 바람직하다.
DPA가 어플라이드 머티어리얼스에 의해 제조된 P5000 반응기 시스템의 DCVD챔버를 위해 갖추어지는 바람직한 실시예에 있어서, 핀은 DPA의 3측면상에 배치되나 4 측면상에는 배치되지 않는다. 대신에, DPA의 4측면(뒤면)은 기판처리챔버의 일부분에 배치된다. 핀(69)에 의해 제공된 냉각정도는 핀의 크기에 따른다. 캐소드의 온도가 250-300?? 사이에서 실행되는 일 실시예에 있어서, 핀(69)은 약 75??으로 DPA의 외부를 냉각하도록 충분히 크다.
DPA(40)를 냉각하기 위해 다른 방법이 사용될 수 있다. 예를들어, DPA(40)의 둘레에 물을 순환시키는 냉각시스템은 DPA로부터 열을 전달하기 위해 사용될 수 있다. 이러한 냉각 시스템은 능동 냉각 메커니즘이다.
2. DPA(40)의 바람직한 입자 감소 실시예를 사용한 검사결과
입자축적을 감소시키는 본 발명의 유효성을 증명하기 위해서, 앞서 기술된 바람직한 실시예에 따라 설계된 DPA(40)가 6인치 웨이퍼를 위해 제공되며 질화실리콘의 CVD증착을 위해 설계된 프리시전 5000 챔버에 부착되는 실험이 수행된다. 프리시전 5000챔버는 본 발명의 양수인인 어플라이드 머티어리얼스에 의해 제조된다.
DPA의 유효성을 검사하기 위해 실험이 실행되기 전에, 플루오르 세정단계 다음의 질화실리콘 증착단계에 의해 처리챔버에 증착된 잔류물의 혼합물을 결정하기위해 실험이 실행되었다. 잔류물의 혼합물은 두 개의 다른 질화실리콘 증착/플루오르 세정공정 시퀀스를 위해 결정된다. 각각의 공정 시퀀스에 있어서, 질화실리콘 증착단계는 세정단계가 제 1시퀀스에서 CF4 화합물에 기초하고 제 2시퀀스에서 NF3 화합물에 기초하는 동안 동일하다.
질화실리콘 막은 웨이퍼를 시레인(SiH4), 질소(N2) 및 암모니아(NH3) 가스의 플라즈마에 노출시킴으로서 웨이퍼상에 증착된다. SiH4는 275sccm의 흐름속도로 챔버내에 유입되며, N2는 3700sccm의 흐름속도로 챔버내에 유입되며, NH3은 100sccm의 흐름속도로 챔버내에 유입된다. 플라즈마는 720와트에서 구동된 13.56MHz RF공급전력을 사용하여 400??의 온도에서 4.5torr의 압력하에서 형성된다. 질화실리콘 증착공정은 웨이퍼상에 대략 10,000??의 막을 증착하기 위해 대략 75초 계속된다.
제 1샘플에 대해, 질화실리콘 증착단계가 완료되고 웨이퍼가 챔버로부터 제거된후, 챔버는 120초 동안 CF4 및 N2O로 세정된다. CF4 내지 N2O의 비는 3:1이며, CF4는 1500sccm의 흐름속도로 유입되며, N2O는 500sccm의 흐름속도로 유입된다. 세정단계동안, 챔버는 400??의 온도와 5torr의 압력으로 유지된다. 플라즈마는 1000와트로 전력이 가해진 13.56MHz 공급전력으로 형성된다.
제 2샘플에 대해, 챔버는 NF3, N2O 및 N2 선구물질 가스로부터 형성된 플라즈마로 세정된다. NF3 내지 N2O 내지 N2의 비율은 대략 5:2:10이며, NF3은 500sccm의 비율로 유입되며, N2O는 200sccm의 비율로 유입되며, N2는 1000sccm의 비율로 유입된다. 챔버는 대략 95초동안 계속되는 세정공정동안 400??의 온도와 5torr의 압력으로 유지된다. 플라즈마의 형성은 1000와트의 전력이 공급된 13.56MHz 공급전력으로 달성된다.
CF4에 대한 잔류물의 색은 갈색이며, NF3 세정에 대한 잔류물의 색은 노란색/흰색이다. Si3N4증착단계으로부터 생성된 잔류물은 갈색이다. 따라서, 이들 결과는 초기 갈색 분말를 NF3 세정으로부터 노란색/흰색 분말로 완전히 변환하는 것을 나타낸다. 이것은 NF3 플라즈마에서 발생된 특정 자유 플루오르 기에 의해 증명된다.
일련의 다른 실시예에 있어서, 3개의 다른 잔류물 샘플, 즉 앞서 기술된 Si3N4증착단계후 처리챔버의 약 0.5m 하단에 있는 포어라인에서 선택된 분말(샘플 A)와, 앞서 기술된 NF3/N2O/N2세정 플라즈마를 실행한 후 샘플A와 동일한 위치에서 선택된 분말(샘플 B)와, 수 일동안 계속된 증착/세정 시퀀스후 챔버의 대략 12m 하단에 있는 건식 진공펌프의 인입구에서 선택된 분말(샘플 C)가 선택된다. 분말 샘플의 혼합물은 수소 전방산란(hydrogen forward scattering)(HFS), X-레이 광전자 분광학(XPS) 및 X-레이 회절(XRD) 분석으로부터 추론된다. 각각의 이들 분말의 혼합물은 표 1에 도시되어 있다.
표 1
질화실리콘 증착/플루오르 세척공정으로부터의 잔류물 형성
샘플 잔류물형태 잔류 혼합물
C% O% N% Si% F% H%
A 갈색파우더 2.1 41 8.0 33 0.9 15
B 노란색/흰색파우더 0 1 12 8.5 38.5 40
C 오랜지색/갈색파우더 0.2 6.8 13 42 1 37
샘플A는 Si3N4 증착화학의 직접 고체 부산물이다. 분말는 RF플라즈마내에서 발생된 입자의 혼합물을 반영한다. 분말는 대부분 Si, N, H 및 산소로 이루어진다. 산소는 샘플 수집동안 공기로부터 흡수된다. 산소는 산소함유가스가 증착동안 사용되지 않기 때문에, 산소는 분말의 초기 성분일 수 없다. 마찬가지로, 플라즈마에서 발생된 잔류입자는 고수소화합된 질화실리콘SixNyHz이다. 이 분말는 고반응성이다. XPS측정은 HFS 결과를 확인하며, 공기에 노출된 후 실리콘이 18% 원소, 24% 질화물 및 58%산화물을 가지는 것을 나타낸다. 질소는 93% 질화물 및 7% 암모니아를 가진다. XRD분석은 비결정 분말를 나타낸다.
샘플(B)은 NF3/N2O/N2 플라즈마를 사용하여 세정처리한 후 분말A의 변환결과이다. 세정공정은 증착챔버내에 축적된 잔류물을 완전히 기화시키며, 상기 변환은 제한된 F*자유 기 수명때문에 포어라인에서 완료되지 않는다. 그러나, 이 수명은 입자변환이 포어라인의 제 1미터에서 발생할 수 있을 만큼 충분히 길다. 이 흰색 분말는 SixNyHz이 (NH4)2SiF6으로 변환되기 때문에 고 F함유량을 가진다. 다결정 흰색 분말는 250??의 승화온도를 나타낸다.
샘플(B)에서 축적된 분말의 양은 증가하며, 처리챔버로부터의 증가는 고체가스가 덜 기화되고 포어라인을 따라 덜 이동하는 것을 나타낸다. 이것은 챔버로부터 이동하는 동안 서로 사이에 F*,CFX,O*와 같은 여기된 종의 희박화 때문이다. 펌프에 근접하여, 분말(A,B)의 혼합물이 존재한다. 이 잔류물(분말C)은 챔버로부터 거리가 증가함에 따라 황색 내지 갈색을 띤다.
화학분석은 분말(C)가 분말(A)로부터의 불완전한 변환을 나타낸다. 보호코팅을 형성하고, 증착중에 수집된 분말의 변환을 막는 초기 갈색 잔류물을 코팅할 수 있은 중합체-(-CF2-C2F4-O-)x-의 형성에 주위가 중요하다. 상업용 PECVD 질화실리콘 시스템의 포어라인에 대한 분말(C)의 축적은 한 달 동안의 연속적인 증착/세정 시퀀스 후에 500g 이상일 수 있다.
챔버에의 잔류물 축적의 혼합물이 결정된 후, 잔류물 분말의 그레인 크기를 결정하기 위해 실험이 실행된다. 이 실험에 대해, 실리콘 피이스는 증착공정으로부터 증착된 물질을 수집하기 위해 포어라인내에 위치된다. 15초 증착공정후에도, 갈색 분말 형태의 잔류물 축적은 진공라인(3)에서 발생한다. 이 잔류물 축적을 도시한 마이크로그래프는 도 8에 도시된다. 갈색 분말는 SixNyHz, SixHy, SiOx 및 실리콘 원소 잔류물로 이루어진다. 잔류물의 기본적인 그레인은 2.3g/cm3의 밀도를 가진 낟알모양 및 스폰지 형태를 가진다. 그레인의 구형체 대칭은 도 9에 도시되며, 균등핵에 의한 성장을 나타낸다. 도 10은 대략 50마이크로미터의 직경을 가진 전형적인 잔류물 집합체로 4개 또는 5개의 기본적인 그레인(각각 15-20마이크로미터 직경을 가짐)의 집합체를 기술하는 마이크로그래프이다. 분말의 그레인 크기가 90초 증착단계동안 1.0mm 또는 그 이상의 집합체를 형성하기 위해 증착시간으로 증가되는 실험이 더 도시된다.
기본형 DPA는 시레인 기초 질화실리콘 증착동작으로부터의 잔류물과 같은 입자 축적을 감소시킬 때 본 발명의 유효성을 증명하기 위해 시험된다. 기본형 DPA는 도 4(a)-(f)에 도시된 실시예에 따라 구성되며 포어라인 바로 앞에 P5000 CVD챔버의 배출구에 접속된다. 실험시, 챔버는 질화실리콘에 대한 공통 증착/세정시퀀스에 따라 동작하며 CF4/N2O세정단계 다음에 3개의 순차적인 1.0미크론 증착단계(3개의 개별웨이퍼에 대해)를 포함한다. 증착/세정 사이클은 5000 웨이퍼 작동검사를 위해 연속적으로 반복된다.
질화실리콘 증착단계에 대해, 챔버압력은 4.5torr으로 설정 및 유지되며, 챔버온도는 400??이며, 서셉터는 가스분배 매니폴드로부터 600밀(mils) 떨어져 배치된다. SiH4를 포함하는 증착가스는 190sccm의 흐름속도로 유입되며, N2를 포함하는 증착가스는 1500sccm의 흐름속도로 유입되며, NH3을 포함하는 증착가스는 60sccm의 흐름속도로 유입된다. 13.56MHz의 주파수를 가진 단일 주파수 RF전력은 플라즈마를 형성하기 위해 455와트의 전력레벨로 공급되며 대략 7500??/min이 흐름속도로 질화실리콘층을 증착한다. 각각의 1.0미크론 층에 대한 전체 증착시간은 약 80초이다.
챔버 세정 단계 동안, 챔버 압력은 4.6 torr로 설정 및 유지되며, 챔버온도는 400??으로 설정되며, 서셉터(웨이퍼 없이)는 가스 분배 매니폴드로부터 600밀의 간격을 두고 배치된다. CF4를 포함하는 세정가스는 1500sccm의 흐름속도로 유입되며, N2O를 포함하는 세정가스는 500sccm의 흐름속도로 유입된다. RF전력은 에칭 플라즈마를 형성하기 위해 공급되며, 챔버내에 증착된 물질을 에칭한다. 주파수 전력공급원은 13.56MHz로 동작되며, 1000와트에서 구동된다. 3개의 1.0 미크론 질화실리콘층 증착단계후 챔버를 세정하기 위해 사용된 전체 세정시간은 처음 3000웨이퍼에 대해 110초이다. 종점 검출기는 최종 2000웨이퍼에 대한 세정시간을 최적화하기 위해 사용된다.
기본형 DPA는 대략 35cm의 길이와 14cm의 직경을 가진다. 전극(56, 58)은 242.1in2의 전체 표면영역을 가지며 알루미늄으로 제조된다. 캐소드는 3.00인치폭이며 39.5966인치의 직경을 가진다.
500볼트의 DC 전압은 전술한 것처럼 질화실리콘 증착단계 및 CF4세정단계 동안 전기적으로 하전된 입자를 트래핑하기 위해 전극(56) 및 전극(58) 사이에서 만들어진다. 전계는 전극(56) 및 접지전극(58)에 500볼트를 공급함으로서 만들어진다. 플라즈마 형성에 대해, DPA장치는 능동장치로서 동작한다(즉, RF 전력은 세정단계동안만 플라즈마를 형성하기 위해 DPA에 제공되며, RF 전력은 증착단계동안 제공되지 않는다). 플라즈마 형성은 1000와트로 구동된 325 KHz RF 파형에 의해 발생된다. DPA내의 압력은 0.8torr로 측정된다.
추가 검사시, 기본형 DPA는 전술한 질화실리콘 증착/CF4세정 시퀀스를 사용하는 20,000웨이퍼의 작동 검사동안 포어라인내의 입자 축적을 방지할 때 100% 효과를 가지는 것으로 도시된다. 기본형 DPA의 사용은 실험시 챔버로부터 배출된 모든 입자 물질을 트랩 및 제거하기 위해 임의의 추가 세정가스 또는 임의의 추가 세정시간을 필요로하지 않는다. 1 및 5000웨이퍼 사이(또는 임의의 웨이퍼 사이)의 임의의 특성에 중요한 변화가 존재하지 않는 실험중에 증착된 질화실리콘 막에 대한 두께, 균일성, 압력 및 굴절률과 같은 막 특성이 측정된다. 더욱이, 웨이퍼 작동중에 0.16미크론 또는 그 이상의 직경을 가진 입자가 증가하지 않는 실험동안 챔버내의 입자수가 측정된다.
3. 나선형 코일, 단일 튜브 실시예
다른 플라즈마 형성구조를 통합하는 DPA(40)의 다른 실시예가 또한 가능하다. 예를들어, 플라즈마는 나선형 반응기 코일과 같은 유도성 코일에 RF신호를 가함으로서 형성된다. 나선형 코일은 작은 크기를 가지며 고플라즈마 밀도로 플라즈마를 형성하기 위한 용량을 가진다. 이러한 코일은 당업자에게 공지되어 있으며 미첼 에이.와 같은 다수의 공지된 논문에 설명된 기준에 따라 설계될 수 있다. Lieberman and Allan J. Lichtenberg, "플라즈마 방전 및 플라즈마 물질 처리의 원리". pp. 404-410 John Wiley & Sons(1994)가 여기에 참조된다.
나선형 공진기 코일은 구리, 니켈 또는 금 또는 유사한 도전물질과 같은 고도전성 물질로 만들어질 수 있다. 코일을 적절히 공진시키기 위해, 코일의 길이가 공급된 RF신호의 파장의 약 1/4 또는 이보다 약간 크게하는 것은 매우 중요하다.
도 11은 이러한 코일을 포함하는 DPA(40)의 일 실시예의 단면도이다. 도 11에 있어서, DPA(40)는 가스가 DPA를 통과함에 따라 처리챔버(15)로부터 흐르는 가스를 배출하는 튜브(150)를 포함한다. 튜브(150)는 세라믹, 유리 또는 석영과 같은 절연물질로 만들어진 실린더형 튜브이다. 바람직한 실시예에 있어서, 튜브(150)는 세정단계에서 사용되는 플루오르와 같은 에천트 가스와 반응하지 않는 세라믹 물질로 만들어진다. 또한, 튜브(150)는 진공라인(31)의 내부직경과 동일한 내부직경을 가진다. 다른 실시예에 있어서, 튜브(150)는 필수적으로 실린더 형태를 가질 필요가 없으며, 대신에 모서리가 있는 평면 또는 타원형 또한 유사한 곡선을 가진 내부표면을 가질 수 있다. 이들 및 다른 실시예에 있어서, 튜브(150)의 내부직경은 진공라인(31)의 내부직경보다 크거나 또는 작을 수 있다.
코일(152)은 튜브(150)의 외부 둘레에 감기며, 점(156)의 한 단부에서 RF전력공급원과 접속되며, 점(155)의 다른 단부에서 접지에 접속된다. 튜브(150)를 통과하는 배출가스는 RF 전력공급원으로부터 코일(152)로 전압을 가함으로서 플라즈마 상태로 여기된다. 플라즈마 상태에서, 플라즈마로부터의 성분은 전술한 펌프 시스템(32)에 의해 DPA(40) 및 진공라인(31)으로 펌핑될 수 있는 가스 생성물을 형성하기 위해 튜브내에 증착된 물질과 반응한다. 코일(152)은 전술한 것처럼 표준 나선형 공진기 코일이며 튜브의 외부보다 튜브(150)의 내부에 감길 수 있다.
외부 용기(154)는 튜브(150)를 둘러싼다. 용기(154)는 적어도 두가지 용도로 사용한다. 첫째, 그것은 코일(152)에 의해 발생된 패러데이 케이지 차폐 방출기로서 작용한다. 둘째, 만일 세라믹 튜브(150)가 파괴되거나 또는 튜브(150)내의 진공밀봉이 다른 방식으로 파괴된다면, 용기(154)는 배출가스가 누출되는 것을 막기위해 제 2밀봉을 제공한다. 용기(154)는 알루미늄 또는 강철 또는 다른 화합물과 같은 다양한 물질로 만들어질 수 있으며 차폐효과를 위해 접지된다. 상부 및 하부 플랜지(157, 158)는 진공밀봉을 유지하는 동안 진공 매니폴드(24) 및 진공라인(31)에 DPA(40)를 접속한다.
표준 RF 전력공급원은 부하로서 50오옴의 임피던스를 갖도록 설계된다. 따라서, 코일(152)과 RF전력공급원에 대한 접촉점(점156)은 코일(152)이 50오옴의 임피던스를 갖도록 선택되어야 한다. 만일 전력공급원이 다른 임피던스 레벨을 요구한다면, 점(156)은 그에 따라 선택될 수 있다.
코일(152)은 50와트 또는 그 이상의 전력레벨에서 RF 전력공급원에 의해 구동되며, 바람직하게는 500와트 또는 그 이상의 전력레벨에서 구동된다. 이러한 조건하에서, 플라즈마 발생은 최대이며, 균일성은 중요하지 않다. 코일(152)에 의해 발생된 실제적인 전압은 RF전력공급원에 의해 사용된 전력, 코일(152)의 길이 및 권선간격 및 코일의 저항과 같은 다수의 요소에 따른다. 전압이 코일을 따라 균일하게 공급되기 때문에, 전체 코일에 대한 전압레벨을 결정하는 것은 코일이 접지 및 RF 전력공급원에 접속되는 점(점(155, 156)) 사이의 레벨을 결정함으로서 행해질 수 있다. 예를들어, 만일 특정 코일이 점(155, 156)사이의 코일부분에 비해 4배길다면, 코일의 전체전압은 점(155,156)사이의 전압레벨의 4배일 수 있다.
코일, 전력레벨 및 공급된 RF 주파수는 강한 플라즈마가 튜브(150)내에 형성되고 코일(152)에 의해 발생된 전압이 코일에서 용기(154)로 흐르는 전류레벨을 초과하지 않도록 선택되어야한다. 만일 아아크가 특정 DPA의 문제이라면, 용기(154) 및 코일(152) 사이에 절연물질을 삽입하는 것이 가능하다. 그러나, 간단한 설계를 위해, 공기로 하전된 용기(154) 및 코일(152) 사이의 공간을 가지는 것은 바람직하다.
DPA(40)의 길이 및 크기는 변화할 수 있다. 몇몇의 응용에 있어서, DPA(40)는 4-6인치일 수 있으며, 다른 응용에 있어서, DPA(40)는 진공라인(31)의 전체 길이(4-5피트)일 수 있어서, 라인을 교체할 수 있다. DPA 설계시 잔류물 수집 효율성과 함께 공간의 균형을 맞추는 것을 고려해야 한다. 더 긴 DPA는 동일하게 설계된, 짧은 DPA보다 더 많은 입자 물질을 수집하여 제거할 수 있을 것이다. 그러나, 개선된 트랩 메커니즘을 포함하는 더 짧은 DPA는 처리챔버로부터 배출된 모든 입자 물질의 99.9%를 수집 및 트래핑할 수 있다. 코일의 길이가 RF파장의 1/4보다 약간 길어야 하기 때문에, 사용된 코일 길이 및 RF 주파수 사이에 직접적인 상호관계가 존재한다. 더 긴 코일은 더 낮은 주파수 RF 전력 신호를 요한다.
DPA(40)는 처리과정의 특정 주기동안 턴-온 및 턴오프되며, DPA는 수동장치로서 구성될 수 있다. 수동장치로서의 DPA(40)는 DPA를 턴온 및 턴오프하는데 특정 제어신호 또는 프로세서 시간이 필요없도록 충분한 RF 전력신호가 연속적으로 공급된다.
4. 제 1나선형 코일, 기계 및 정전기 트랩 실시예
도 12는 DPA(40)의 다른 실시예에 대한 단면도이다. DPA(40)의 실시예는 도 12에 도시되어 있으며, 이 DPA(40)는 제 1내부 세라믹 튜브(160) 및 제 2 외부 세라믹 튜브(162)를 포함한다. 튜브(160)의 단부는 화살표(164)로 표시된 것처럼 가스가 DPA(40)를 통과하도록 튜브(162)의 실린더 공간내에 있다.
나선형 공진기 코일(166)은 도 11의 실시예에 관련하여 기술된 것처럼 튜브(162)의 외부 둘레에 감기며 RF 전력공급원(168)에 접속된다. 코일(166)은 튜브(162)의 내부내에 또는 튜브(160)의 외부 또는 내부 둘레에 감긴다.
전술한 용기(150)와 유사한 셸(shell)(168)은 내부 및 외부 튜브(160, 162)를 밀봉한다. 외부 튜브(162)는 내부 튜브(160) 또는 셸(168)중 하나에 접속될 수 있다. 어느 한 경우에 있어서, 외부 튜브(162)에 대한 지지 구조에 의해 방출가스 스트림이 DPA(40)을 통과할 수 있다. 지지구조는 관통된 홀을 가진 튜브(160, 162) 사이의 세라믹 물질로 이루어진 평면일 수 있으며, 또는 튜브(160, 162) 사이로 뻗는 핑거 또는 3/4 슬렌더 접속부만으로 구성할 수 있으며, 또는 다수의 다른 동일한 방법으로 설계될 수 있다. 관통된 홀을 포함하는 구조는 이하에 기술된 수집영역(170)내에 입자 물질을 수집 및 트래핑하는데 도움이 된다. 그러나, 이 구조는 홀이 DPA(40)를 통해 펌핑된 가스의 흐름속도를 감소시키기 않기 위해서 충분히 크도록 설계되어야 한다.
DPA(40)의 바람직한 설계는 입자 물질의 트랩 및 분해를 촉진시킨다. 이 설계는 가스가 도 4(a)의 트랩(62)과 유사한 방식으로 DPA의 나머지를 통해 진공라인(31)으로 이동하지 않도록 배출 가스 스트림에서 입자를 수집 및 홀딩하는 기계적인 트랩으로 작동하는 튜브(162)의 수집영역(170)을 포함한다. 이 입자는 트랩에서 유지되며 그들이 형성된 플라즈마하에서 분해 또는 파괴될 때 까지 플라즈마에 영향을 받는다.
DPA(40)의 트랩부분이 동작은 DPA장치를 통해 진공라인으로 입자를 세정하기 시작하는 방출 가스흐름경로에도 불구하고 트랩내에 입자 물질을 홀딩하기 위해 동작하는 인력에 따른다. 따라서, DPA(40)의 유효성은 입자가 가스 생성물에 도달할 때까지 입자가 튜브(162)에 남는 것을 막기위해 외부 튜브(162)의 능력에 따른다. 수집영역(170)이 DPA에 대한 인입구의 하단에 있으며 외부 튜브(162)의 길이가 인력에 관련하여 상기 트랩을 만들기에 충분하도록 DPA(40)가 배치되는 것은 중요하다.
DPA(40)내의 평면(176)을 따라 가스 통로의 단면 영역을 증가시키는 것은 입자 물질을 트래핑하는데 도움이 된다. 주어진 증착공정에서 방출가스 스트림에 대한 흐름속도는 일반적으로 일정하다. 따라서, 하나 이상의 통로의 단면 영역을 증가시키는 것은 입자에 대한 중립 항력을 감소시키는 가스 스트림에서 입자의 속도를 감소시킨다. 주어진 입자는 만일 입자에 대한 인력이 중립 항력을 초과한다면 DPA(40)의 인력 트랩내의 인력에 의해 트래핑된다.
기계적인 트랩의 유효성을 더 향상시키기 위해서, 정전기 콜렉터(172)는 수집영역(170) 근처에 배치될 수 있다. 정전기 콜렉터(172)는 DC 또는 AC 전력공급원에 접속된 작은 전극일 수 있다. 정전기 콜렉터(172)에 공급된 전하의 극성 및 양은 각각의 응용에서 배출된 입자 물질의 극성 형태 및 전형적인 전하레벨에 따른다.
다양한 다른 정전기 트래핑 장치는 본 발명에 사용될 수 있다. 이러한 정전기 콜렉터의 다른 실시예는 도 13(a), 도 13(b) 및 도 13(c)을 참조로하여 이하에서 상세히 설명될 것이다.
5. 제 2 나선형 코일 기계적 및 정전기 트랩(trap) 실시예
도 13(a)은 DPA(40)의 다른 실시예에 대한 단면도이다. 도 13(a)의 실시예는 도 12의 실시예와 유사한 기계적인 트랩 설계을 사용하며 수정된 정전기 트랩을 사용한다. 또한, 방출 가스는 상부 플랜지(181)에 대향하는 것보다는 이 상부플랜지에 인접하여 배치된 측면 플랜지(180)를 통해 배출된다. 플랜지(180)는 외부 튜브(186)보다 오히려 외부 케이싱(184)으로 진공 밀봉하기 위해 배치된다. 케이싱(184)은 금속 또는 유사한 물질로 만들어지는 반면, 튜브(186)는 세라믹과 같은 절연물질로 만들어진다.
RF 전력은 RF 전력공급원에 대한 접속점(188) 및 점(189) 사이에 50오음의 임피던스를 가지도록 설계되는 외부 코일(187)을 통해 DPA에 공급된다. 전술한 것처럼, 코일(187)은 코일이 표준 RF 전력공급원에 의해 구동될 수 있도록 50오옴의 임피던스를 가지도록 설계되어야 한다. 내부코일(190)은 내부 튜브(185)내에 감긴다. 내부코일(190)은 외부 코일(187)상에 공급된 RF신호를 유도에 의해 수신하며 플라즈마 반응을 구동하기 위해 전계를 만든다.
중심 와이어(192)는 내부 튜브(185)의 중심을 통하며, 전위는 DPA를 통해 이동하는 입자 물질을 정전기적으로 트래핑하기 위해 중심 와이어(192) 및 내부 코일(190) 사이에서 만들어진다. 전위는 다수의 다른 방법을 사용하여 만들어질 수 있다. 각각의 방법에 있어서, 중심 와이어(192) 및 코일(190)은 전극으로서 동작한다. 일 실시예에 있어서, 중심 와이어(192)는 접지되며, 양 DC 또는 AC 코일(190)에 공급된다. 도 13(b)에 도시된 것처럼, 배출 입자(194)가 음으로 하전되는 경우에, 입자는 와이어(192) 및 코일(190)에 의해 만들어진 전계(Felec)에 의해 유인된다. 만일 코일(190)이 접지되고 음전압이 중심 와이어(192)에 공급된다면 유사한 결과가 달성될 수 있다. 그러나, 이 경우 와이어(192)는 코일(190)쪽으로 음으로 하전된 입자를 반발시킨다.
다른 실시예에 있어서, 양 DC 또는 AC 전압은 중심 와이어(192)에 공급되며, 코일(190)은 접지 전위에 접속된다. 이러한 방법에 있어서, 음극으로 하전된 입자는 도 13(c)에 도시된 것처럼 양으로 하전된 와이어(192)위의 위치(196)에 수집된다. 만일 음전압이 코일(190)에 공급되고 중심와이어(192)가 접지된다면 유사한 결과가 달성될 수 있다. 이러한 경우에, 코일(190)은 와이어(192)쪽으로 음으로 하전된 입자를 반발시킨다.
또 다른 실시예에 있어서, 와이어(192) 또는 코일(190)은 둘다 접지되지 않으며 코일(190)에 관련하여 와이어(192) 사이에 양 또는 음 전위를 만드는 전압원에 둘다 접속된다. 물론, 양으로 하전된 입자 물질이 존재하는 경우, 이 입자 물질은 음으로 하전된 입자 물질이 수집되는 전극에 대향하는 전극상에 수집될 수 있다.
또한, 입자는 입자 물질이 양 및 음으로 하전된 입자 모두를 포함하는 경우에 정전기력에 의해 수집된다. 이러한 경우, 양으로 하전된 입자는 저전위 전극에 유인되며, 음으로 하전된 입자는 고전위 전극에 유인된다. 또한, 중심 와이어(192)에 AC전압을 공급하는 것은 가능하다. 만일 AC 전압이 중심 와이어(192)에 접속되고 코일(190)이 접지된다면, 양의 입자 물질은 양의 절반 사이클 동안 와이어로부터 코일(90)쪽으로 반발된다. 그러나, 음의 절반 사이클 동안, 음의 입자 물질은 와이어로부터 반발되어 코일(190)상에 수집된다. 이러한 경우에, AC 전압주기는 입자의 응답시간보다 커야한다.
몇몇의 앞의 경우에 있어서, 두 개의 전극 사이의 전계는 50 및 5000볼트/cm 사이일 수 있다. 바람직하게, 전계는 500볼트/cm(DC) 내지 1000볼트/cm(AC) 사이에 있다. 입자는 코일(190)상에 수집되기 위해서 중심 와이어(192)로부터 유인되거나 또는 입자의 극성과 코일(190) 및 와이어(192)에 공급된 전하에 따른다.
이러한 설계가 코일(190) 및 중심 와이어(192) 사이에서 만들어진 전압 전위차를 따르기 때문에, 코일(190)은 최대 입자 수집을 얻기 위해 내부 튜브(185)내에 위치되어 튜브의 절연물질에 의해 와이어(192)로부터 분리되지 않는다. 튜브(185)내에 위치될 때, 코일(190) 및 중심 와이어(192)는 플루오르와 같은 다양한 고반응성 종과 접촉한다. 따라서, 코일(190) 및 와이어(192)는 이러한 종과 반응하지 않는 니켈과 같은 적절한 도전성 물질로 만들어진다. 코일(190)은 입자 및 RF 전력신호를 유인 또는 반발시키기 위해서 전위를 만든다.
6. 평행전극을 포함하는 제 3 기계적 및 정전기 트랩 실시예
도 14(a)는 기계 및 정전기 트랩을 포함하는 다른 DPA(40)의 단면도이다. 도 14의 실시예는 도 4(a)-(c)에 도시된 실시예와 유사하며, 이는 한쌍의 용량성 결합 전극에 공급된 RF전력으로부터 플라즈마를 형성한다. 그러나, 도 14(a)에서의 전극은 도 4(a)-(f)의 실시예에서 동일한 표면영역의 평행판 전극보다 오히려 주변 실린더형 전극(402, 404)이다. 도 14(b)에 도시된 것처럼, 그들의 실린더형 성질 때문에, 전극(404) 대 전극(404)의 표면영역비는 DPA의 다른 부분에서 다르다. 예를들어, 전극(402) 대 (404)의 표면영역 비는 내부통로(405a)에서 약 3:1이다. 동일한 실시예에 있어서, 전극(402) 대 전극(404)의 표면영역비는 외부 통로(405b)에서 약 1.3:1이다.
전극(402, 404)은 처리챔버(15)로부터 배출된 가스가 통과하는 가스 통로(405)를 한정한다. 전극(402)은 RF 및 DC전력이 전극(404)에 공급되는동안 접지된다. RF 및 DC전압은 PFD(406)을 통해 전극(404)에 공급된다. PFD(406)은 테프론 절연체(408)에 의해 접지된 전극(402)으로부터 절연된다.
통로(405)는 전극의 동심원 성질 때문에 원형 도넛의 하부 절반과 같은 모양을 가지는 "U" 자형 인력 트랩영역(410)을 포함한다. 방출가스는 인입구(401)로부터 가스 통로(405)로 입력되며 배출구(403)를 통해 배출된다.
만일 적절하다면, DC 필터(412)는 방출가스 스트림에서 전기적으로 하전된 물질을 트래핑하기 위해 DPA에 공급되는 전압이 챔버내에서 발생하는 기판처리동작을 간섭하지 않도록 DPA(40) 및 처리챔버(15) 사이에 배치될 수 있다.
전극(402) 및 전극(404)을 포함하는 전기회로는 도 15에 도시되어 있다. 도 15에 도시된 것처럼, 전극(404)은 전극(404)이 접지되는 동안 DC 발생기(420) 및 RF 발생기(422) 모두에 접속된다. DC 발생기(420)는 정전기 트랩에 의해 요구된 DC전압을 공급하며, RF 발생기(422)는 플라즈마를 형성하기 위해 RF전력을 공급한다. RF 매칭회로(424)는 반사된 전력을 최소화하기 위해 발생기 출력 임피던스를 50??에 매칭시키며, DC/RF 필터(바람직한 실시예에서 1m?? 저항)(406)는 RF전력공급원으로부터 DC전력공급원(420)을 분리시킨다.
7. 평행전극을 포함하는 제 4 기계적 및 정전기 트랩 실시예
도 16(a)는 기계 및 정전기 트랩을 포함하는 DPA(40)의 다른 실시예에 대한 단면도이다. 도 16(a)의 실시예는 DPA로 배출된 방출가스로부터 용량성 결합 플라즈마를 형성하는 균일하게 이격된 평행전극(430, 432)을 포함한다. 전극(430)은 도 14(a)의 실시예와 유사한 방식으로 RF 및 DC전력공급원에 접속되며, 전극(432)은 접지된다.
각각의 전극은 강철금속으로 형성되며 가스 통로(435)를 만들기 위해 구부러진다. 챔버(15)로부터의 방출가스는 인입구(434)를 통해 가스 통로에 입력되며 배출구(436)로부터 배출된다. 가스 통로(435)는 나란히 배치되며 전극(430)의 일부에 의해 분리되는 두 개의 가스흐름 경로, 즉 경로(435a, 435b)를 포함한다. 가스 흐름통로를 두 개의 개별 통로로 나누는 것은 고정된 영역내에 전극(430, 432)의 표면영역을 증가시킨다. 전극(430, 432)은 DPA내에 그들이 RF플라즈마 형성에 의해 발생된 열조건하에서 용해되지 않고 및/또는 구부러지지 않도록 충분한 두께를 가져야한다. 다른 실시예에 있어서, 전극(430, 432)은 알루미늄으로 제조될 수 있다.
도 16(b)은 도 16(a)에 도시된 DPA의 투시도이다. 도 16(B)에 있어서, DPA(40)는 DPA의 이미 기술된 다른 실시예와 유사하게 알루미늄 케이싱(440)에 의해 둘러싸인다. 케이싱(440)은 나사(442)에 의해 DPA에 부착된 문(441)을 포함한다. DPA(40)는 이 문의 제거에 의해 세정될 수 있다. 또한, RF 및 DC 전력은 PFD접속부(438)를 통해 전극(430)에 공급된다.
8. 제 1마이크로파 실시예
도 17(a)은 DPA(40)의 다른 실시예에 대한 단면도이며, 도 17(b)은 도 17(a)에 도시된 실시예의 전면 입면도이다. 도 17(a) 및 (b)의 실시예는 플라즈마를 발생시키기 위해 마이크로파 소오스를 사용하며 DPA내에 수집된 입자물질 및 잔류물을 제거한다. 다수의 다른 마이크로파 소오스가 이용가능하면, 한쌍의 선택적으로 펄스화된 마그네트론(450)(예를들어, 몇몇의 마이크로파 오븐에 사용되는 형태의 마그네트론)이 바람직한 사용된다. 이러한 마그네트론은 CW 마이크로파 발생기 또는 RF 발생기의 가격보다 싸다.
도 18(a)에 도시된 것처럼, 각각의 마그네트론(450)은 선택적으로 펄스화된(60Hz) 전계(2.45GHz)를 발생시킨다. 도 18(b)에 도시된 것처럼, 다른 마그네트론에 관련하여 180도의 위상차만큼 한 마그네트론의 펄스를 지연시킴으로서, 두 개의 마그네트론 소오스는 도 18(c)에 도시된 것처럼 120Hz로 일정하게 펄스화될 수 있다. 도 18(c)에 있어서, 파형(M1)의 제 1사이클은 마그네트론중 하나에 의해 발생되며, 제 2사이클(M2)은 다른 마그네트론에 의해 발생된다. 마그네트론에 의해 발생된 에너지는 고플라즈마 밀도로 90%에 근접한 이온화 효율을 제공할 수 있다. 따라서, 이러한 전력 소오스는 10-20% 사이의 이온화 효율을 감소시키는 용량성 결합 전극보다 더 높은 세정효율을 발생시킨다.
마이크로파 소오스의 추가 장점은 주울 효과에 의한 열감소이다. 약한 열이 발생하기 때문에, 가스 흐름통로(456)를 한정하는 전극(452, 454)(도 17(a))은 비교적 얇은 시트 금속으로 용이하게 만들어질 수 있다. 가스 흐름통로(456)는 인입구(458)를 출발하여 배출구(460)에서 종료된다. 통로는 나누어져서, 도 16(a)의 실시예의 가스 통로(435)와 유사한 방식으로 이중경로를 따른다. 또한, 인입구(458)는 도 17(b)에서 프로파일(464)에 의해 도시된 것처럼 가스 통로(456)의 시작부분에서 DPA(40)로 돌출된다.
마그네트론(450)은 DPA(40)의 반대측면에 배치된다. 마이크로파 전력은 적절한 도파관(462)(도 17(b))에 의해 반응기에 접속된다. 마그네트론 및 도파관은 플라즈마가 전체 가스통로 전반에 걸처 생성되도록 가스 통로(456)의 폭을 통해 마이크로파를 투사하도록 결합한다. 박판 전극 사이의 간격은 전계의 각각의 노드(세기가 제로인 점)가 전극표면에 위치되도록 마이크로파의 파장에 따라 조절될 수 있다(즉, 전극판 사이의 간격은 마이크로파 파장의 다수의 절반 주기이어야 한다). 마그네트론(450) 및 도파관(462)의 위치설정 때문에, 플라즈마는 가스통로(456)의 모든 경로에 형성된다. 세라믹 문(466)(도 17(b))은 전극(452)으로부터 마그네트론 및 도파관을 분리하며, 외부 케이싱(468)은 DPA를 밀봉하며 제 2레벨 밀봉을 제공한다.
DC 전력공급원(도시안됨)은 증착 또는 다른 기판처리동작동안 이전에 기술된 것처럼 정전기 콜렉터를 제공하기 위해 전극(452)에 접속된다. 전극(452)에 대한 DC전력은 OFF로 스위칭되며(도시안됨 스위치에 의해), 전극은 마그네트론(450)이 세정동작동안 동작될 때 접지된다. 스위칭 전극(452)은 다른 방식으로 발생할 수 있는 아아크를 막기 위한 시간이 요구되는동안 접지된다.
9. 제 2마이크로파 실시예
도 19(a)는 DPA(40)의 다른 실시예의 측단면도이며, 도 19(b)는 도 19(a)에 도시된 실시예의 전면 입면도이다. 도 19(a) 및 도 (b)는 그것이 DPA 플라즈마를 발생시키기 위해 마그네트론(450)을 사용한다는 점에서 도 17(a) 및 도 17(b)은 도 17(a)의 실시예와 유사하다.
그러나, 도 19(a)에 도시된 것처럼, DPA(40)의 실시예에서 DPA는 인입구(474)의 하단에 위치한 초기 모듈(472)을 포함한다. 이 모듈(472)은 에천트 기(예를들어, CF4가 에천트 가스로 사용될 때 CFx 및 자유 F)가 증가된 이온화 효율성으로 발생될 수 있도록 세정 사이클 동안 플라즈마를 발생시키기 위해 전용된다. 이렇게 발생된 기는 긴 수명을 가지며 그들이 증착 및 수집된 물질과 반응하기 위해 DPA의 제 2모듈(475)로 펌핑될 때 활성화를 유지한다.
제 2모듈(475)은 바람직한 실시예에서 박판으로 구성된 대향하는 전극(476, 480)에 의해 한정된 가스통로(470)를 포함한다. 가스통로(470)는 도 17(a) 및 도 17(b)의 실시예에서 가스통로(456)와 유사하다. 가스통로는 이중 통로(470a, 470b)를 포함하며 배출구(478)에서 끝난다.
도파관(482)은 마그네트론(450)에 접속된다. 도파관 및 마그네트론은 마이크로파 발생이 모듈(472)에 플라즈마를 형성하도록 배치된다. 애노드(476)의 내부벽은 마이크로파가 모듈(472) 외부의 가스통로(470)의 다른 부분에 도달하는 것을 막는다. 전극(480)은 이전에 기술된 것과 유사한 정전기 콜렉터를 제공하기 위해 DC 전력공급원(도시안됨)에 접속된다. 이러한 실시예에 있어서, 전극(480)에 대한 DC전력은 세정 사이클 동안 OFF로 스위칭될 필요가 없다. 플라즈마가 제 2모듈(475)에 발생하기 때문에, 아아크 문제가 발생하지 않는다.
10. 기본형 DPA를 사용하는 추가 입자감소 실시예
본 발명의 유효성을 설명하기 위한 다른 실험에 있어서, 제 2기본형 DPA(40)는 8인치 웨이퍼를 위해 준비된 프리시전 5000 챔버에 부착된다. 제 2기본형 DPA는 포어라인에 DPA를 접속하기 위해 사용된 하부 플랜지의 설계를 제외하고 도 11에 도시된 DPA(40)과 유사하다. 이러한 제 2기본형 DPA 및 하부 플랜지의 단면도는 도 20에 도시된다. 도 20에 도시된 것처럼, 하부 플랜지(200)는 대략 90도의 각도에서 DPA를 통해 포어라인으로 흐르는 배출가스의 방향을 다시 설정한다. 플랜지는 플랜지의 하부(204)상에 축적된 증착물질이 관찰될 수 있도록 포어라인 접속부에 대향하는 석영 윈도우와 접속된다. 전술한 것처럼, 기본형 DPA의 하부 플랜지에 대한 설계는 도 4(a)-(f), 도 12 및 도 13(a)에 도시된 DPA(40)의 실시예에서 "U"자형 통로 또는 기계적인 버킷 트랩(bucket trap) 설계와 유사한 방식으로 영역(204)에 입자 물질을 트래핑하는 장점을 가진다.
제 2기본형 장치는 석영 튜브의 외부 둘레에 감긴 3/8인치 구리 배관으로 만들어진 코일(208)을 가진 석영 튜브(206)를 포함한다. 코일(208)의 전체 길이는 대략 25피트이며, 13.56MHz 전력 공급원은 이하의 실험에 대한 기술에 설명된 것처럼 다양한 전력레벨로 구동된다. 석영 튜브(206) 및 코일(208)은 알루미늄 용기(210)내에 밀봉된다. 어셈블리의 전체 길이는 대략 14인치이며, 어셈블리의 폭은 대략 4.5인치이다.
제 2기본형 DPA의 유효성은 3개의 개별 실험에서 검사된다. 각 실험에 있어서, 100웨이퍼는 진공배출 매니폴드 및 포어라인 사이에 접속된 제 2기본형 DPA를 가진 프리시전 5000 챔버에서 수행된 질화실리콘 증착/CF4 플루오르 세정동작 시퀀스에서 처리된다. 제 2기본형 DPA는 각 실험의 증착 시퀀스동안 OFF로 유지되며 플루오르 세정 시퀀스동안 13.56 RF 전력공급원에 의해 ON으로 스위칭된다. 증착동안 OFF일 때, 튜브는 영역(212)으로서 도 20에 도시된 튜브(206)의 내부를 따라 수집된다. 이들 입자는 DPA가 세정 시퀀스동안 활성화될 때 튜브(206)로부터 제거된다. 각각의 3개의 실험 조건은 이하의 테이블에서 요약된다.
표 2
포어라인 세척결과
실험 1 실험 2 실험 3
RF 주파수 13.56MHz 13.56MHz 13.56MHz
RF 전력 200 500 500
CF4 흐름 1500 2000 2500
N2O 흐름 500 500 500
결과 테이블 2에서의잔류물 #1 테이블 2에서의잔류물 #2 제거된 잔류물
제 1실험에 있어서, 플루오르 세정 시퀀스는 135초이며, DPA는 200와트로 구동된다. CF4는 1500sccm의 흐름속도에서 처리챔버내에 유입되며 500sccm의 흐름속도비(3:1 비율)에서 챔버내에 유입된 N2O와 혼합된다. 100 증착/세정 시퀀스후, DPA는 모든 잔류물 및 증착물없이 검사된다. DPA의 하부에 있는 모서리가 있는 플랜지에 있어서, 작은양의 잔류물 축적이 수집된다. 이러한 잔류물 축적의 원자 농도는 측정되어 이하의 테이블 3에 요약된다. 잔류물에서 다수의 실리콘은 산화실리콘의 형태로 포함되며, 대략 질소의 절반은 질화실리콘 막에 포함되며 다른 절반은 암모니아의 형태를 가진다.
제 2실험에 있어서, 플루오르 세정 시퀀스는 120초로 단축되며, DPA가 구동되는 전압은 500와트로 증가된다. CF4는 2000sccm의 비율로 처리챔버내로 유입되며 500sccm의 흐름속도(4:1)로 챔버내로 유입된 N2O와 혼합된다. 100 증착/세정 시퀀스후, DPA는 모든 잔류물 및 증착물없이 검사 및 발견된다. 작은양의 잔류물 축적은 모서리를 가진 플랜지에 수집된다. 육안검사로부터, 잔류물 축적 양은 제 1실시예에서의 축적 양보다 대략 80% 이하이다.
잔류물 축적의 원자농도는 측정되어 이하의 표3에서 요약된다. 표에서 나타난 것처럼, 이 실험으로부터의 잔류물은 제 1실험으로부터의 잔류물보다 고농도의 플루오르를 포함한다. 플루오르 농축 잔류물은 플라즈마에 대한 플루오르 종을 더 제공하며 추가 DPA 활성화동안 세정하는데 더 용이한 잔류물을 만든다. 이 실험으로부터의 잔류물에서 다수의 실리콘은 산화실리콘의 형태로 포함되며 다수의 질소는 암모니아의 형태를 가진다.
제 3실험은 잔류물이 제 1 및 제 2실험동안 수집되는 DPA 및 모서리를 가진 플랜지 모두로부터 완전히 제거될 수 있다. 이러한 제 3실험에 있어서, 플루오르 세정 시퀀스는 120초로 연장되며, DPA가 구동되는 전압은 500와트로 증가된다. CF4가 처리챔버내로 유입되는 비율은 2500sccm으로 증가되며 500sccm의 비율(5:1)에서 챔버내로 유입되는 N2O와 혼합된다. 100 증착/세정 시퀀스후에, DPA 및 모서리를 가진 플랜지는 검사되며 모든 잔류물 및 증착물없이 발견된다.
잔류물이 존재할 때 실험결과 및 혼합물은 이하의 표 3에 요약된다.
표 3
DPA의 하부에서 수집된 잔류물
원자농도% Si% N%
C O N Si F H elem. nit. ox. 질화물 NH3
잔류물#1 3.4 44.8 7.4 31.4 13.1 N/A 13.9 20 66.1 48.6 51.4
잔류물#2 4.8 20.5 15.2 19.8 39.8 N/A 4.2 3.3 92.5 3.7 96.3
잔류물#3 없음 없음 없음 없음 없음 없음 없음 없음 없음 없음 없음
B. PFC 감소를 위해 최적화된 DPA (40)의 특정 실시예
본 발명의 일부 실시예는 이러한 가스를 방출하는 어떤 공정으로부터 방출된 PFC 가스를 감소하기 위해 구성되고 최적화된다. 이렇게 구성된 DPA 가스는 PFC 감소 반응기(이하 "PR2"라 함)로 참조될 수도 있다. 편리 및 참조를 위하여, PR2 장치로서 구성되고 최적화된 DPA (40)은 본 출원의 나머지 부분에서 PR2 (240)로 라벨링된다. PR2(240)가 제 3도에 도시된 DPA (40)과 같이 챔버에 연결될 수도 있다는 것이 이해될 것이다.
산화실리콘 막 증착/세정 공정은 본 발명에서 PFC 방출을 감소하기 위해 사용되는 공정의 일 예로서 사용된다. 그러나, 본 발명은 다음의 공정 시퀀스에서 PFC 방출을 감소하는데 한정되지 않고, 그 대신에 PFC 가스를 챔버(15)로 유입하는 어떤 공정과 PFC 가스가 챔버(15)에 형성된 처리동작의 부산물이 되는 어떤 공정에 적용된다는 것을 이해하여야 한다. 부가적으로, 본 발명은 하이드플루오르카본(HFC)이나 유사한 가스와 같은 다른 물질의 방출을 감소하는데 사용될 수도 있다.
예시적인 증착/세정 시퀀스에서, 산화실리콘 막은 시레인(SiH4)과 산화질소(N2O) 선구가스를 포함하는 처리가스로부터 물질 위에 증착된다. 증착이 완료된 후에, 챔버로부터 기판이 제거되고 챔버 벽으로부터 불필요한 산화실리콘 축적을 에칭 및 제거하기 위하여 챔버 세정 동작이 수행된다. 세정동작은 CF4와 N2O의 플라즈마를 충돌시킴으로써 행해진다.
상기한 바와 같이, 세정동작 동안에 챔버로 유입된 CF4의 작은 부분만이 실제로 챔버 벽상에 증착된 물질과 반응한다. 남아있는 반응되지 않은 CF4는 다른 가스성분, 생성물 및 반응 부산물과 함께 포어라인을 통해 챔버로부터 배출된다.
이 예에서, 본 발명의 PR2는 배출된 CF4로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 CF4를 PFC의 전위 손상 효과를 갖지않는 덜 해로운 생성물 및 부산물로 전환하기 위하여 PR2내의 고체 산화실리콘과 같은 실리콘원과 반응한다. 내부에 PR2를 발생하는 반응의 일부는 다음과 같다:
CFx + SiO2 -----------> SiFx + CO2
CF4 + O2 ------------> CO2 + 2F2
2CF4 + O2 -------------> 2COF2 + 2F2
C + SiO2 ---------------> CO + SiO
SiO + F2 ---------------> SiOF2
물론, 정확한 반응 및 반응 시퀀스는 종의 전자 충돌 해리와 같은 기본적인 반응과 가스 상태 라디칼 재결합이 발생함으로써 더 복잡해졌다. PR2로부터 방출되는 것으로 알려진, 상기한 생성물 또는 부산물의 어느 것도 PFC 가 아니다. 실제로, 상기한 생성물 및 부산물의 각각은 수용성이다. 그러므로, 본 발명의 PR2로부터 방출되는 모든 방출가스는 PFC 변환 반응이 효율 100%일 경우에는 PFC 없는 가스이다.
상기한 설명이 예시적인 목적만을 위한 것이지만, CF4와는 다른 가스가 본 발명의 PR2로 유입되어 플라즈마가 형성될 경우, 이들 PFC 가스의 플라즈마는 PFC가 아니고 PFC보다 덜 해로운 가스 생성물을 형성하기 위하여 산화실리콘원과 반응한다.
동작시에, PFC 가스가 진공 챔버(15)로부터 진공 라인(31)으로 배출되면, 배출된 가스는 PR2(40)를 통과한다. PR2(40) 내에서, 배출된 가스는 플라즈마를 생성하거나 및/또는 유지하는 전계를 받기 쉽다. 플라즈마로부터의 성분은 포어라인을 통해서 펌핑될 수 있으며, PFC가 아닌 덜 해로운 가스 생성물 및 부산물로 방출 PFC를 변환시키기 위하여 PR2(40)내에 실리콘 및/또는 산소 화합물과 같은 PFC 산화제와 반응한다. 일반적으로 플라즈마 형성은 증착/세정 시퀀스의 세정동작 동안에만 (작동되는 PR2) 실행되는데, 그 이유는 이 주기동안에 방출 PFC 가스가 배출 스트림에 존재하기 때문이다. 그러므로, 증착 시퀀스 동안에는, 플라즈마는 일반적으로 PR2(40) 내에 형성되지 않는다. 그러나, PFC 가스가 증착이나 다른 공정 단계(예를 들면, CF4 부산물을 생성하기 위하여 카본 함유 포토레지스트와 반응하는 에칭 단계) 동안에 특수 공정으로부터 방출되면, PR2(240)는 이 시간동안에 PFC 방출을 감소하기 위하여 동작될 수 있다.
PFC 플라즈마와 반응하는 PR2(240)내의 실리콘 및 산소는 다양한 다른 소오스일 수 있다. 본 발명의 여러 가지 실시예에서, 특수하게 구성된 필터는 플라즈마 반응용 모래 또는 석영과 같은 고체상태의 산화실리콘 화합물을 포함한다. 실리콘 필터는 플라즈마가 형성되는 PR2(240)의 영역내에 위치되어 있다. 본 발명의 다른 실시예에서, 산화실리콘, 질화실리콘, 옥시질화실리콘, 실리콘 카바이드 또는 증착/세정 공정 시퀀스의 유사한 증착 상태로부터 배출된 잔류물은 트래핑(trap)되고 DPA(40)를 참조로 기술된 것과 유사한 방법으로 PR2(240)내에 수집된다. 이러한 증착공정 동안에 트래핑될 수 있는 일반적인 잔류 생성물은 SiO2, SiN, SiON, SiC 및 유사 화합물을 포함한다. 물론, 실제 잔류물은 증착 또는 다른 공정단계 동안에 유입된 가스에 좌우된다. 수집된 잔류물은 플라즈마 반응을 위한 실리콘 소스로서 작용한다. 트랩은 제 7도와 관련하여 상기한 바와 같이 열 이동력과 연합하여 기계적 및/또는 정전기적 트랩 메커니즘을 사용하여 행해지며, 또한 본 발명의 다양한 실시예에 관하여 이하 상세하게 기술한다. 트래핑되면, 실리콘 잔류물 또는 다른 입자 물질은 진공라인(31)을 통해 펌핑되는 가스 부산물을 형성하기 위하여 PFC 플라즈마에서 활성 종과 반응할 때까지 PR2(240)내에 남아 있는다. 본 발명의 또 다른 실시예에서, 실리콘 함유 및/또는 산소 함유 가스는 방출 PFC 가스의 분해를 향상시키기 위하여 특별히 PR2(240)내로 유입된다. 이들 실리콘 및/또는 산소 함유 가스의 유입은 실리콘 필터 및/또는 정전기적 및/또는 기계적 트랩 메커니즘에 더하여 또는 그에 대신할 수 있다.
플라즈마를 형성하기 위하여 PR2(240) 내에 형성된 전계는 RF 파워(어떤 실시예에서는 HF 파워(<350 KHz)가 설비 및 동작 비용을 최소화하기 위하여 RF 파워 대신에 사용됨)를 용량적으로 접속된 전극에 인가하는 것과 같은 여러 가지 공지된 방법을 사용하여 발생될 수 있다. PFC 전환은 형성된 플라즈마의 밀도에 직접 관련되지만, 일부 실시예에서는 유도 코일 또는 중공 캐소드 반응기와 같은 고밀도 플라즈마를 형성하는 이러한 장치가 바람직하다. PFC 변환은 플라즈마가 형성되고 PR2 장치내의 PFC 가스의 잔류시간에 역으로 관련된 전력에 직접적으로 관련된다. 그래서, 전력공급원의 실제 전력 출력은 PR2, 플라즈마 밀도, PR2(240)에서 처리될 PFC 가스의 체적 및 다른 인자 가운데 PFC 가스의 잔류 시간이 사용되는 응용에 따를 것이다. 이상적으로, PR2(240)는 PR2를 통하여 통과하는 실질적으로 모든 PFC 가스를 다른 가스로 변환하기에 충분한 플라즈마를 생성한다.
PR2(240)는 처리중에 사용하기 쉽도록 설계되어야 한다. 즉, 만약 PR2(240)가 세정 시퀀스동안 동작되면, PR2(240)는 세정 시퀀스의 기간을 연장하지 않고 세정 시퀀스동안 배기 스트림으로부터의 실질적으로 모든 PFC 가스를 비 PFC 가스로 변환하도록 설계된다. 그런 경우에, PR2(240)는 웨이퍼 작업 처리량에 역효과를 주지 않는다.
RF 전력은 RF 전력공급원(25)으로부터 유도되거나 단지 PR2(240)만을 구동하는 독립형 RF 전력공급원에 의해 공급될 수 있다. 대부분의 실시예에서, 마이크로파 ECR은 예외적인 일이고, PR2(240)를 동작시키기 위하여 저주파수 RF 전력을 사용하는 것이 바람직하다. 대략 50KHz 내지 2MHz 사이의 RF 전력을 공급하는 저주파수 RF 전력공급원은 13.56MHz같은 보다 높은 RF 주파수보다 동작시 매우 싸다. 다중 처리 챔버가 세정방에 존재한다고 가정하면, 챔버에 연결된 다중 PR2는 적당한 수의 RF 전력 분할기에 연결된 독립적으로 제공된 PR2 RF 전력공급원에 의해 모두 구동될 수 있다.
PR2(240)의 길이 및 크기는 변할 수 있다. 몇몇의 응용에서, PR2(240)는 4-6인치이거나 또는 짧을 수 있고, 다른 응용에서, PR2(240)는 라인을 대체하는 진공 라인(31)의 전체 길이(4-5피트 또는 그이상)일 수 있다. 일반적으로, 각 입자의 잔류 시간은 PR2의 길이 및 체적의 증가로 증가할 것이다. PR2 설계는 잔류 수집 효과를 고려하여 공간 균형을 잡아야한다. 그러나, 적당하게 설계된 입자 트랩핑 메커니즘 또는 필터를 포함하는 짧거나 작은 체적의 PR2는 처리 챔버로부터 배출된 실질적으로 모든 PFC 가스를 덜 중요한 길이 및 체적의 인자를 만드는 덜 해로운 가스로 실질적으로 변환할 수 있다.
본 발명의 다수의 다른 실시예 장치는 구성될 수 있다. 몇몇의 그런 실시예는 예로써 아래 기술된다. 본 발명은 이들 특정 실시예로 제한되어 구성되지 않는다.
1. 실리콘 하전 필터 실시예
a) 단일 튜브, 나선형 공진기
도 21은 PR2(240)의 단면도를 도시한다. 도 21에서, PR2(240)는 가스가 PR2(240)를 통하여 통과할 때 처리 챔버(15)로부터 가스를 배출시키는 튜브(250)를 포함한다. 튜브(250)는 세라믹, 유리 또는 석영같은 절연 물질로 만들어진 원통형 튜브이다. 바람직한 실시예에서, 튜브(250)는 세정 단계에서 사용된 질소같은 에칭 가스와 반응하지 않는 세라믹 물질로 만들어진다. 또한, 튜브(250)는 진공 라인(31)의 내부 직경과 거의 동일한 내부 직경을 가진다. 다른 실시예에서, 튜브(250)는 원통형일 필요는 없고 대신 모나고, 평평하거나 타원이거나 유사한 모서리를 가진 내부 표면을 가질 수 있다. 이들 및 다른 실시예에서, 튜브(250)의 내부 직경은 진공 라인(231)의 내부 직경보다 크거나 작을 수 있다.
필터(251)는 튜브(250)내에 있다. 필터(251)는 가스를 비 PFC 가스로 변환하기 위한 플라즈마 조건하에서 PFC 가스와 반응하도록 이용할 수 있는 고체 실리콘 소오스를 포함하는 다공성 필터(porous filter)이다. 필터(251)는 실리콘 화합물을 다 써버렸을 때 대치될 수 있는 튜브(250)에 삽입 가능한 소비 부분일 수 있다. 필터(251)내의 실리콘 소오스는 임의의 다수의 실리콘 포함 물질일 수 있다. 바람직하게, 실리콘 소오스는 모래 또는 유리, 석영, 부싯돌 또는 오닉스(onyx)같은 실리콘 함유 물질이다. 또한, 바람직하게 필터는 펌핑 속도 또는 포어라인의 컨덕턴스에 상당히 큰 영향을 주지 못하는 다공성이다.
실리콘 산화물 물질의 사용은 PFC 플라즈마가 반응할 수 있는 실리콘 및 산소를 제공한다. 바람직한 실시예에서, 부서진 석영은 실리콘 소오스로서 사용된다. 석영을 부서뜨리는 것은 실리콘이 보다 잘 반응하게 총 표면 영역을 증가시킨다. 부가적으로, 부서진 석영은 증착 처리동안 생성된 고체 잔류물에 대한 기계적 필터로서 동작하고 그런 물질이 실리콘 잔류물을 생성하는 처리시 챔버(15)로부터 소비될 때 반응을 위한 추가의 실리콘 물질을 트랩핑할 수 있다.
코일(252)은 튜브(250)의 외부에 감겨지고 점(256)에서 RF 전력공급원에 접속되고 점(257)에서 접지 전위에 접속된다. 튜브(250)를 통하여 통과하는 PFC 소비 가스는 RF 전력공급원로부터 코일(252)에 전압의 인가에 의해 플라즈마로 여기된다. 플라즈마 상태에서, 소비 물질로부터의 성분은 상기된 바와같이 펌프 시스템(32)에 의해 PR2(240) 및 진공 라인(31)에서 펌프되는 PFC가 아닌 가스 부산물을 형성하기 위하여 필터(251)의 고체 실리콘 산화물 에칭제와 반응한다.
가스 공급 라인(253)은 PFC 변환 반응을 향상시키기 위하여 산소 및/또는 실리콘 소오스인 추가의 가스를 공급할 수 있다. 사용될 수 있는 가스는 O2, O3, N2O, SiH4 또는 그와 같은 것을 포함할 수 있다. 물론 테트라에틸오소실리케이트 (TEOS)같은 액체 소오스는 기화되어 라인(53)을 통하여 유입될 수 있다. 추가의 반응 향상 가스가 PR2(40)에 유입되는 비율은 밸브(255)를 제어하는 프로세서(34)에 의해 설정된다. 프로세서(34)는 도시되지 않은 제어 라인에 의해 밸브(255)에 통신적으로 접속된다.
코일(252)은 나선형 공진기 코일같은 유도 코일이다. 그런 코일은 종래 기술에 잘 공지되어있고 여기에 참조된 마이클 에이. 리버맨 및 알랜 제이. 리텐버그, "플라즈마 방전 및 물질 처리 방법," 페이지. 404-410 존 윌리 & 선(1994)같은 다수의 잘 공지된 교본에서 설정한 기준에 따라 설계된다. 나선형 공진기 코일은 구리, 니켈, 또는 금 또는 유사한 전도 물질같은 높은 전도성 금속으로 만들어질 수 있다. 코일을 적당하게 공진시키기 위하여, 코일의 길이가 제공된 RF 신호 파장정도 또는 1/4 정도 약간 길 수 있다는 것은 중요하다. 이런 길이의 코일은 보다 강하고 보다 센 전계를 형성하고 PFC 가스의 분해를 추가로 향상시킨다. 코일(252)은 튜브에 대해 외부가 아닌 튜브(250)의 내부에 감겨질 수 있다.
외부 컨테이너(254)는 튜브(250)를 둘러싼다. 컨테이너(254)는 적어도 두 개의 목적으로 사용한다. 첫째로, 그것은 패러데이 케이지로서 작용하고 코일(252)에 의해 생성된 방사선으로부터 CVD 처리 장치(10) 및 다른 장치를 보호한다. 둘째로, 만약 세라믹 튜브(250)가 파손되거나 갈라지면 또는 만약 튜브(250)의 진공 밀봉이 다른 방법으로 파손되면, 컨테이너(254)는 소비 가스가 밖으로 새는 것을 방지하는 제 2밀봉을 제공한다. 컨테이너(254)는 알루미늄 또는 강철 또는 다른 화합물같은 다양한 금속으로 만들어지고 바람직하게 차폐 효과를 위하여 바람직하게 접지된다. 상부 및 하부 플랜지(259 및 258)는 진공 밀봉을 유지하면서, 진공 매니폴드(24) 및 진공 라인(31)에 PR2(240)를 각각 접속한다.
표준 RF 전력은 50오옴의 출력 임피던스로 설계된다. 따라서, 코일(252)(점 256)에 대한 RF 전력공급원 접촉 점은 코일(252)이 50오옴의 임피던스를 가지도록 선택되어야한다. 다른 경우, 만약 전력공급원이 다른 임피던스 레벨을 요구하면, 점(256)은 이에 따라 선택되어야한다.
코일(252)은 50 와트 또는 그 이상의 전력 레벨에서 RF 전력 공급원에 의해 구동된다. 코일(252)에 의해 생성된 실제 전압은 RF 전력공급원에 의해 사용된 전력, 코일(252) 길이 및 권선 간격 및 다른 인자중 코일의 저항같은 다수의 인자에 따른다. 전압이 코일을 따라 평탄하게 전개되기 때문에, 전체 코일에 대한 전압 레벨을 결정하는 것은 코일이 접지 및 RF 전력공급원(점 255 및 256)에 접지되는 점 사이의 레벨을 결정함으로써 행해질 수 있다. 예를들어, 만약 특정 코일이 점(255 및 256) 사이 코일 부분의 4배이면, 코일의 총 전압은 점(255 및 256) 사이 전압 레벨의 4배이다.
코일, 전력 레벨 및 제공된 RF 주파수는 강한, 플라즈마가 튜브(250)내에 형성되지만, 코일(252)에 의해 생성된 전압이 전류가 코일로부터 컨테이너(254)로 아킹할 레벨을 초과하지 않도록 선택되어야 한다. 만약 아아크가 특정 PR2에 대해 문제가 되면, 컨테이너(254) 및 코일(252) 사이에 절연 물질을 두는 것은 가능하다. 그러나 간략한 설계를 위하여, 공기로 하전된 컨테이너(254) 및 코일(252) 사이의 공간을 가지는 것은 바람직하다.
b) 단일 튜브, 마이크로파
도 22는 PR2(240)의 제 2실시예 단면도를 도시한다. 도 22에 도시된 PR2(240)의 실시예는 도 21에 도시된 실시예중 많은 동일 엘리먼트를 포함한다. 따라서, 편리성을 위하여, 도 22 및 본 출원의 나머지 도면에서, 동일 참조 번호는 동일 엘리먼트를 인용하기 위하여 사용된다. 또한 편리성을 위하여, 도 22 및 다른 도면의 새로운 엘리먼트는 적당히 상세히 기술된다.
도 22에서, 마이크로파 발생기(260) 및 도파관(262)은 PR2(240)로 진입하는 유출 PFC 가스로부터 고밀도 플라즈마를 생성하기 위하여 사용된다. 자석(264)은 전자 사이클로트론 공진(ECR) 장치에서 처럼 튜브(250)내의 가스 입자를 추가로 에너지화하고 플라즈마 형태를 향상시키기 위하여 튜브(250)의 외부 주위에 배치된다. 플라즈마로부터의 구성요소는 PFC 가스를 비 PFC 가스로 변환하기 위하여 필터(251)에서 실리콘 산화제와 반응한다. 상기된 실시예에서 처럼, 추가의 가스는 변환 방법을 향상시키기 위하여 가스 라인(253)으로부터 PR2(240)에 첨가된다.
도시되지는 않았지만, 도 22에 도시된 PR2(240)의 실시예는 컨테이너(254)와 같은 외부 케이스로 바람직하게 밀봉된다. 외부 케이스는 제 2밀봉부를 유지하여 튜브(250)를 통하여 통과하는 PFC 또는 다른 가스는 누출 또는 튜브(250)의 다른 결함의 경우에 PR2(240)로부터 누출되지 않는다.
c) 나선형 코일 공동 캐소드 반응기(helical coil cathode reactor)
도 23은 PR2(240)의 제 3실시예를 도시한 단면도이다. 도 23에서, 나선형 공진기 코일(266)은 PR2(240)의 나선형 코일 공동 캐소드 반응기 실시예를 형성하기 위하여 원통형 금속 튜브(268)내에 배치된다. 코일(266)은 튜브(268)가 접지될 동안 HF 또는 RF 전력 소오스(269)에 접속된다. PR2(240)의 나머지 구조는 도 23에 도시되지 않는다. 상기 구조는 예를들어 가스 라인(253), 밸브(255), 플랜지(258 및 259), 컨테이너(254)등을 포함하고, 도 17에 도시된 PR2(240)와 비슷하다.
HF 또는 RF 전력이 코일(266)에 인가될 때, 유도 결합 플라즈마는 코일에 제공된 RF 전력으로부터 코일내에 형성되고 유도 결합 플라즈마는 코일 및 튜브(268) 사이에 형성된다. 코일(266) 및 튜브(268)가 플라즈마로부터의 반응성 질소 종에 종속적이기 때문에, 그것들이 니켈같은 적당한 전도 물질로 만들어지고, 그런 종과 반응한다. 도시되지 않은 실리콘 필터는 PFC 플라즈마를 위하여 반응하도록 실리콘 및 산소 물질을 제공하기 위하여 코일(266)내 및/또는 주위에 배치될 수 있다. 부가적으로, 실리콘 및/또는 산소 함유 가스는 가스 라인(253)으로부터 플라즈마에 공급될 수 있다.
d) 다단계 공동 캐소드 반응기
도 24는 PR2(240)의 제 4실시예를 도시한 단면도이다. 도 24에서, 원통형 가스 통로는 원통형 모양 애노드(272), 캐소드(274) 및 절연 장벽(275)에 의해 형성된다. 캐소드(274)는 애노드(272)가 접지되는 동안 HF 또는 RF 전력공급원(269)에 접속된다. 절연 장벽(275)은 캐소드(274)로부터 애노드(272)를 절연한다. 이런 변형 전극/캐소드 구조는 고밀도 플라즈마(1012이온/cm3)가 형성될 수 있는 다단계 공동 캐소드 반응기를 형성한다. 반응기의 각 단계(애노드/캐소드 쌍)는 영역(276)에 의해 도시된 바와같이 캐소드에 근접한 영역의 통로내에 고밀도 플라즈마를 형성한다.
PR2(240)내의 입자 잔류 시간을 증가시키는 것뿐 아니라, 공동 캐소드 구조 및 높은 플라즈마 밀도를 유지하기 위하여, 통로(270)내의 압력은 PR2(240) 뒤의 포어라인에 배치된 도시되지 않은 분리된 드로틀 밸브에 의해 제어될 수 있다. 제어 압력은 PFC 변환을 최대화하기 위하여 설정된 실제 압력과 처리 챔버내의 압력까지(PECVD 처리시 4-20 torr) 및 SACVD 또는 APCVD 처리를 위하여 700 torr 또는 그 이상) 100-500 militorr(베이스 포어라인 압력) 범위일 수 있다.
HF 또는 RF 전력보다 DC 전력은 이런 다단계, 공동 캐소드 반응기 설계의 다른 실시예 캐소드(274)에 공급될 수 있다. 그러나 바람직한 실시예에서 HF 또는 RF전력은 DC 전력 소오스로부터 지향성 DC 전류가 전극을 에칭할 수 있기 때문에 공급된다. HF 또는 RF 전력이 사용될 때, 스퍼터 에칭 효과는 상당히 감소되거나 존재하지 않는다. HF 전력은 가장 바람직한 실시예에서 장치 및 동작 가격을 감소시키기 위하여 사용된다.
도 23의 공동 캐소드 반응기 설계에서처럼, 실리콘 필터, 가스 라인(253)으로부터 공급된 도시되지 않은 실리콘 및/또는 산소 함유 가스는 적당한때 PFC 변환 처리를 향상시키기 위하여 사용될 수 있다. 또한, 가스 라인(253), 밸브(255), 플랜지(258 및 259), 컨테이너(254)같은 구조는 도 17에 도시된 PR2(240)의 것과 유사하고 도 20에 도시되지 않는다.
2. 실리콘 입자 트랩
만약 PFC 세정 시퀀스전에 챔버(15)에서 발생하는 증착, 에칭 또는 다른 처리가 실리콘 함유 잔류물에 발생하면, 본 발명 장치의 임의의 실시예는 실리콘 소오스로서 사용하기 위한 잔류물을 트랩 및 수집할 수 있다. 그래서, 이들 실시예는 특정 설계 실리콘 필터를 요구하지는 않지만, 사용할 수 있다.
실리콘 잔류물을 생성하는 증착 처리의 실시예는 TEOS 및 시레인 산화실리콘 증착 처리 및 시레인 질화실리콘 증착 처리를 포함하지만 제한되지는 않는다. 그런 처리에서, PFC 변환 반응을 위하여 트래핑된 방출 실리콘 잔류물은 SiO2, SiN, SiON, SiC, 비결정질 실리콘 및 유사 화합물을 포함한다. 물론, 수집된 실제 잔류물은 사용된 증착, 에칭 또는 다른 처리에서 유입된 가스에 따른다.
이들 증착 또는 다른 처리 동작으로부터 방출 잔류물은 챔버 벽에서 수집되고 결과적으로 세정되는 코일 잔류물이다. 따라서, 이들 실시예에서 PFC 가스를 비 PFC 가스로의 변환은 세정 동작동안 챔버에서 발생하는 반응과 동일한 반응을 유발한다.
a) 단일 튜브, 나선형 공진기
도 25는 PR2(240)의 제 5실시예를 도시한 단면도이다. 도 25에 도시된 PR2(240)의 실시예는 PFC 플라즈마 반응으로부터 구성되는 실리콘 소오스가 필터 삽입물내의 고체 실리콘 화합물보다 반대의 전극(280 및 282)을 포함하는 정전기 콜렉터에 의해 트래핑되는 실리콘 함유 잔류물인 것을 제외하곤 도 21에 도시된 실시예와 유사하다. 실리콘 함유 잔류물은 DC 전력 소오스(284)로부터 전극(280 및 282) 사이에 인가된 전압 전위에 의해 증착 또는 다른 처리동안 트래핑되고 수집된다. 인가된 전압 전위는 전극(282)을 전극(280)(또는 반대로)과 비교하여 양으로 하전된다. 잔류 입자가 PR2(240)를 통하여 통과할 때, 양으로 하전된 전극(280) 및 음으로 하전된 입자는 양으로 하전된 전극(282)쪽으로 부착되고 수집된다. 사용된 증착 처리 형태 및 처리 길이에 따라, 몇 밀리미터 또는 그 이상의 실리콘 함유 잔류물은 전극(280 및 282)상에 만들어질 수 있다.
증착 시퀀스가 완료되고 세정 시퀀스가 시작된후, 플라즈마는 도 21에 관해 기술된 동일 방식으로 방출 PFC 가스로부터 PR2(240)로 형성된다. 플라즈마로부터의 구성요소는 비 PFC 생산물 및 부산물을 형성하기 위하여 전극(280 및 282)상에 수집된 실리콘 잔류물과 반응한다. 전극(280 및 282) 사이의 전압 전위는 전극을 따라 수집된 입자가 그것들이 PFC 플라즈마와 반응할때까지 잔류하는 것을 보장하기 위하여 세정 처리동안 유지될 수 있다. 그러나, 전압 전위는 만약 특정 처리를 위하여 사용된 세정 또는 다른 가스가 전극을 에칭하면, 세정 시퀀스동안 바람직하게 스위칭 오프된다. 튜브(250), 전극(280 및 282)내에 배치된 것은 플루오르와같은 다양한 반응종과 접촉한다. 따라서, 전극(280 및 282)이 상기 종과 반응하지 않는 니켈같은 적당한 도전물질로 이루어지는 것은 중요하다.
다양한 다른 정전기 트랩핑 장치는 본 발명의 여러 실시예에서 사용될 수 있다. 예를들어, 음으로 하전된 DC 또는 AC 전압은 양의 DC 전압보다 전극(282)에 인가될 수 있다. 다른 실시예에서, 전극(280 및 282) 양쪽은 전극(282)에 관한 전극(280)으로부터 양 또는 음의 전압을 형성하는 전압 소오스에 접속된다. 본 발명은 임의의 특정 정전기 수집 장치로 제한되지 않는다.
b) 단일 튜브, 마이크로파
도 26은 PR2(240)의 제 6실시예를 도시한 단면도이다. 도 26에서, 반대 극성(전극 286 및 288)의 전극은 상기된 바와같은 정전기 수집 장치를 형성하기 위하여 튜브(250)의 원통형 체적내에 교대로 배열된다. 실리콘 및 산소 함유 잔류물 또는 유사 물질은 증착 시퀀스동안 전극(286 및 288)의 표면상에 수집된다.
도 22에 도시된 PR2(240)의 실시예에서 처럼, 도 26의 실시예는 마이크로파 발생기(260) 및 도파관(262)으로부터 마이크로파 전력의 인가에 의해 장치를 통하여 통과하는 PFC 가스로부터 고밀도 플라즈마를 형성한다. 자석(264)은 튜브(250)내의 가스 입자를 추가로 에너지화하고 플라즈마 형성을 향상시키기 위하여 전자 사이클로트론 공진(ECR) 장치에서처럼 튜브(250)의 외부 주변에 배치된다. 플라즈마로부터의 구성요소는 PFC 가스를 비 PFC 가스로 변환하기 위하여 전극(286 및 288)상에 수집된 실리콘 및/또는 산화 잔류물과 반응한다. 추가의 가스는 변환 처리를 향상시키기 위하여 도시되지 않은 가스 라인(253)으로부터 PR2(240)에 첨가된다.
또한 PR2(240)의 실시예에서 도시되지 않고 도 26에 도시된 것은 제 2밀봉부를 형성하는 컨테이너(254) 또는 유사 케이싱 메커니즘이므로 튜브(250)를 통하여 통과하는 PFC 또는 다른 가스는 튜브에서 누설 또는 다른 결함의 경우에 PR2(240)으로부터 새지 않는다.
c) 내부 및 외부 원통형 튜브
도 27은 PR2(240)의 제 7실시예를 도시한 단면도이다. 도 27에 도시된 PR2(240)의 실시예는 제 1 내부 세라믹 튜브(290) 및 제 2 외부 세라믹 튜브(292)를 포함한다. 튜브(290)의 단은 PR2(240)를 통한 가스 흐름이 화살표(293)로 표시된 바와 같이 튜브(292)의 원통형 공간내에 있다.
나선형 공진기 코일(294)은 튜브(292)의 외부 주위에 감겨있고 도 21의 실시예와 관련하여 기술된 바와같이 RF 전력공급원(269)에 접속된다. 코일(294)은 튜브(92)의 내부내에 또는 외부 또는 내부 튜브(90)의 주위에 감겨진다.
상기 컨테이너(254)와 유사한 셸(shell)(297)은 내부 및 외부 튜브(290 및 292) 양쪽을 밀봉한다. 외부 튜브(292)는 내부 튜브(290) 또는 셸(297)에 접속함으로써 유지될 수 있다. 양쪽의 경우, 외부 튜브(292)에 대한 유지 구조가 PR2(240)을 통하여 통과하기 위한 유체 방출 가스 스트림을 허용하는 것은 중요하다. 이런 목적을 위하여, 유지 구조가 다수의 관통 홀을 가지는 튜브(290 및 292) 사이 세라믹 물질 평면일 수 있고 , 튜브(290 및 292) 사이로 연장하는 핑거 또는 4개의 슬랜더 접속부중 3개만으로 구성될 수 있고 및 다수의 다른 똑같은 방식으로 설계될 수 있다. 관통 홀을 포함하는 구조는 하기될 수집 영역(295)내의 실리콘 잔류물 또는 다른 특정 물질을 수집 및 트랩할 수 있다. 당업자는 상기 구조가 PR2(240)를 통하여 펌핑된 가스의 흐름 비율을 감소시키기에 홀이 충분하도록 설계된다는 것을 이해할 것이다.
PR2(240)의 설계는 증착 단계동안 배출된 실리콘 잔류물 또는 다른 입자의 트랩핑 및 수집을 개선시킨다. 상기 설계는 소비 가스 스트림에서 잔류물 및 입자를 수집 및 유지하는 기계적 트랩으로서 동작하는 튜브(292)의 수집 영역(295)을 포함한다. 잔류물 및 입자는 트랩에서 유지되고, 세정 시퀀스 동안 형성된 PFC 플라즈마의 구성요소와 반응하는데 사용할 수 있다.
PR2(240)의 기계적 트랩 부분 동작은 PR2 장치를 통하여 진공 라인으로 입자를 청소하는 유출 가스 흐름 경로에도 불구하고 트랩내에 입자 물질을 유지하기 위하여 작동하는 중력에 부분적으로 따른다. 그래서, 부분적으로, PR2(240)의 유효성은 입자가 가스 생산물에 반응될 때까지 튜브(292)를 떠나가는 것을 방지하는 것에 따른다. 이런 목적을 위하여, PR2(240)가 배치되어 수집 영역(295)이 인입구로부터 PR2로 아래쪽으로 향하고 외부 튜브(292)의 길이가 중력과 협력하여 트랩을 형성하기에 충분하다는 것은 중요하다.
PR2(240)내의 평면(AA)을 따라 가스 통로의 단면 영역을 증가시키는 것은 입자를 트랩하는 것을 돕는다. 임의의 주어진 증착 처리시 유출 가스 스트림에 대한 흐름 비율은 일반적으로 일정하다. 그래서, 하나 또는 그 이상의 통로의 단면을 증가시키는 것은 입자상의 중성 항력을 크게 감소시켜 가스 스트림의 입자 속도를 감소시킨다. 주어진 입자는 만약 입자의 중력이 중성 항력을 초과하면, PR2(240)의 중력 트랩내의 인력에 의해 트래핑된다.
기계적 트랩의 효율성을 추가적으로 향상시키기 위하여, DC 전력공급원(284)에 접속된 전극(296 및 298)을 포함하는 정전기 콜렉터(272)는 도 25에 관해 기술된 바와같이 사용될 수 있다.
d) 기계적 및 정전기적 트랩핑 메커니즘을 포함하는 래비린탈
도 28(a)은 본 발명의 장치를 감소시키는 PFC의 다른 바람직한 실시예에서 사용된 가스 통로 모듈(310)을 도시한 단면도이다. 도 28(a)에서, 한쌍의 반대 전극(320 및 322)은 처리 챔버(15)로부터 방출 가스가 통과하는 가스 통로(유체 도관)를 형성한다. 모듈(310)은 챔버(15)로부터 방출 모든 입자가 모듈내에 트랩되고 수집되는 것을 보장하기 위하여 정전기 및 기계적 트랩핑 메커니즘 양쪽을 포함한다.
정전기 트랩은 도 25와 관련하여 상기된 바와 같은 전극중 하나에 DC 전압을 인가함으로써 형성된다. 이런 방식으로, 양으로 하전된 입자는 하나의 전극에 수집되고 음으로 하전된 입자는 다른 하나에 수집된다.
기계적 트랩은 수집 영역(324)에서 입자를 수집하기 위하여 인력에 부분적으로 의지함으로써 실리콘 입자 및 잔류물을 추가로 수집한다. 각 수집 영역(324)은 입자가 PR2 장치를 통한 입자를 진공 라인으로 청소하는 유출 가스 흐름 경로에도 불구하고 세그먼트의 하부 영역내에 수집 및 유지되도록 배치된 가스 통로의 "U" 모양 세그먼트를 포함한다. 물론, 모듈(310)은 수지 영역(324)이 모듈의 반대편상에 있도록 위 아래로 회전될 수 있다.
세정 시퀀스동안, RF 전력은 모듈을 통하여 통과하는 PFC 가스의 용량 결합 플라즈마를 형성하기 위하여 전극중 하나에 제공된다. 바람직하게, 전극(320 및 322)은 실질적으로 같은 표면 영역을 가지도록 설계된다. 그런 설계는 균일한 플라즈마가 전극에 의해 형성된 전체 영역/통로를 통하여 때리도록 한다. 상기 실시예에서처럼, 플라즈마로부터의 구성요소는 PFC 가스를 비 PFC 가스로 변환하기 위하여 수집된 실리콘 입자 및 잔류물과 반응한다.
정전기 콜렉터 및 기계적 트랩 결합은 챔버(15)로부터 방출 실리콘 잔류물을 수집하기 위하여 특히 효과적인 메커니즘을 제공한다. 실제적으로, 그런 결합은 거의 100%의 수집 효과를 제공하여 진공 라인(331)에 이루어진 증착물을 제거 또는 방지하는 추가의 장점을 가진다. 도 6 및 DPA(40)에 관하여 상기된 바와같이, 기계적 트랩 섹션은 이들 입자가 중력에 의해 수집 챔버(324)내에 보다 유지되기 쉽기 때문에 유출 가스 스트림에 존재하는 상대적으로 큰 입자를 트랩핑하는데 특히 효과적이다. 다른 한편, 정전기 트랩은 유출 가스 스트림에서 보다 작은 입자를 수집 및 트랩핑하는데 효과적이지만 기계적 트랩에 의해 수집되지 않는다. 또한, 상기된 바와같이, 전극 사이의 온도 기울기로 인한 열이동력(thermophoretic)은 입자를 트랩하기 위하여 사용될 수 있다.
도 28(a)에 도시된 모듈은 PR2(340)의 다양한 실시예의 부분으로서 사용될 수 있다. 그런 실시예의 일실시예는 도 28(b)에 도시되고, 모듈의 다른 유사 부분의 상부에 적층된 도 28(a)의 가스 통로 모듈 설계의 일부분을 사용하는 본 발명의 장치를 감소시키는 PFC의 실시예를 도시한 측면 투시 단면도이다. 물론 도 28(a)에 도시된 모듈 또는 유사 모듈을 가진 다른 설계는 가능하다. 예를들어, 3개, 4개 또는 그 이상의 모듈은 특정 수집을 위한 증가된 전극 표면 영역을 가지는 비교적 긴 가스 통로를 형성하기 위하여 시퀀스에 배치될 수 있다. 또한, 3개, 4개 또는 그 이상의 모듈은 서로의 상부에 적층될 수 있고 도 28(b)에 도시된 실시예와 유사한 방식으로 접속된다. 모듈(310)은 PFC 플라즈마로부터의 구성요소가 반응할 수 있는 실리콘의 추가 소오스를 포함하는 필터 엘리먼트로 설비될 수 있다. 모듈(310)을 바탕으로 다른 설계 변형에 대한 가능성은 거의 무한하다.
도 28(b)에서 챔버(15)로부터의 유출 가스는 인입구(330)를 통하여 PR2(340)로 진입하고 배출구(332)를 통하여 배출된다. 분할기(334)는 가스가 화살표(323)에 따른 전극(320 및 322)에 의해 형성된 래비린탈 통로를 통하여 흐르도록 보장한다. PR2(340)가 수직으로 향해질 때, 인입구(330)는 축(AA)을 따라 측면에 있고, 통로를 통하여 보다 큰 방출입자는 중력하의 수집 영역(324)에서 수집하고자 한다. 만약 PR2(340)가 수직으로 향해지면, 인입구(340)는 축(BB)을 따라 측면에 있고, 통로를 통하여 보다 큰 방출입자는 수집 영역(325)에서 수집하고자 한다.
DC 발생기(338)는 전극(320)이 접지되고 증착 및 세정 시퀀스 동안 양의 DC 전압을 전극(322)에 공급한다. 그래서, 음으로 하전된 입자는 전극(322)의 표면상에 수집하고자하고 양으로 하전된 입자는 전극(320)의 표면상에서 수집하고자한다.
다른 실시예에서처럼, RF 발생기(336)는 전극(320 및 322) 사이의 통로의 유출 PFC 가스로부터 플라즈마를 형성하기 위하여 세정 시퀀스동안 RF 전력을 전극(322)에 제공한다. 플라즈마는 PFC 가스를 비 PFC 가스 생산물 및 부산물로 변환하기 위하여 수집 영역(324 또는 325) 및 전극(320 및 322)을 따라 수집된 실리콘과 반응한다. DC/RF 필터(340)는 RF 전력공급원이 DC 발생기(338)와 간섭하는 것을 방지한다. DC 및 RF 전력은 전극(322)보다 전극(320)에 인가된다 ; 그러나, 안전성 및 방사선을 위하여 전극(320)이 접지되는 것은 바람직하다.
3. PFC 감소 DPA에 관한 사용 및 검사 결과
본 발명의 효율성을 나타내기 위하여, 실시예는 기본형PR2(340)이 8인치 웨이퍼에 대해 외부 설비된 프리시전 5000 챔버에 부착되어 실행된다. 프리시전 5000 챔버는 본 발명의 양수인인 어플라이드 머티어리얼스에 의해 제조된다.
실시예에서, 기본형 PR2는 필터(351)가 세라믹 튜브(350)내에 포함되지 않고 추가의 가스 공급라인(353)이 존재하지 않는 것을 제외하고 도 21의 PR2(340)와 유사하다. PR2의 총 길이는 약 25인치이고 튜브(350)의 직경은 약 1.5인치이다. PR2는 챔버 하부 트로틀 밸브 뒤 프리시전 5000 챔버에 부착된다.
3개 상이한 단계에서 CF4 및 N2O 세정 시퀀스로부터 방사된 방출 가스를 분석했다. MKS 코포레이션사에 의해 제조된 MKS 300 시리즈 잔류 가스 분석기를 사용하여 에칭 단계 동안에, 방출 가스가 모니터되었다. 챔버로부터 대략 20 피드 아래의 진공 펌프 앞에서 측정이 이루어졌다. 그래서, 단지 안정한 종이 RGA에 의해 검출될 것이 고려되었다. 대량 분석이 복잡하기 때문에, 증착 단계는 세정 시퀀스전에 수행되지 않았다.
실험 조건은 다음과 같다. 챔버내의 압력은 2torr로 유지되고, PR2에서 0.5torr의 대응 압력을 유발한다. CF4 및 N2O는 500 sccm의 비율로 챔버에 유입된다. 챔버에 형성된 플라즈마는 PR2에 형성된 플라즈마가 900와트(RF2)에서 13.56 MHz RF 전력공급원에 의해 구동되는 동안, 1000와트(RF1)에서 13.56 MHz RF 전력공급원에 의해 구동된다.
실시예의 제 1단계동안, 세정 가스는 챔버에 유입되고 플라즈마가 형성되지 않고 챔버 및 PR2를 통하여 흐르도록 허용된다. 제 2단계에서, 플라즈마는 프리시전 5000 챔버내에 형성되지만, PR2내에는 형성되지 않는다. 3단계에서, 플라즈마는 챔버 및 PR2 양쪽에 형성된다. 이들 실시예의 결과는 도 29(b)에 도시된다. 제 1단계는 CF4 방사의 상대적 분석을 바탕으로 처리 및 설정으로부터 방사된 스펙트럼을 결정한다.
도 29(a)는 플라즈마가 챔버 및 PR2 양쪽 세정 가스로부터 형성될 때 얻어진 질량 스펙트럼을 도시한다. RGA 장치가 이온화에 의해 가스를 검출하는 것은 중요하다. 그래서, CF3 +, CF2 + 및 CF+ 이온의 검출이 유출 CF4를 나타낸다. 도 29(a)에서, 피크(괄호에 지시된)는 C+(12), N+(14), 0+(16), F+(19), CF+(31), O2 +(32), F2 +(38), N2O+(44), CF2 +(50) 및 CF3 +(69)에 대응하여 검출된다. 각 피크는 초기 가스 반응제(CF4 및 N2O)의 분해중 생성물에 대응한다. CO+(28), CO2 +(44), COF+(47), COF2 +(66) 및 COF3 +(85)에 대응하는 피크는 챔버 및 PR2에 발생하는 반응의 부산물에 대응한다. 가능한 해석은 CO2 + 및 N2O+(라인 44)로 인하여 발생할 수 있다. 하나의 CF4 및 N2O의 스펙트럼과 비플라즈마와 응답을 기록함으로써 그리고 RF1 및 RF2가 온(on)될 때, 라인(44)의 피크가 90% CO2 + 및 10% N2O+를 나타낸다는 것을 결정한다.
질적으로, CF4의 감소는 CF3 +(69), CF2 +(50), CF+(31) 피크의 응답이 감소할 때 관찰된다. 추가의 분해 증거는 N2O에 대응하는 피크 응답이 감소할 때 관찰된다. 반응 CO+(28), CO2 +(44), COF+(47), COF2 +(66) 및 COF3 +(85)의 가스 부산물 응답은 CF4 감소에 비례하여 증가한다.
도 29(b)는 각각 3개 단계의 실시예 동안 RGA에 의해 측정된 특정 가스의 발전 피크를 도시한다. 특히, 도 12(b)는 피크(44)(N2 +), 69(CF3 +) 및 28(CO+)의 응답을 도시한다. 도 29(b)에 도시된 첫 번째 80초는 플라즈마가 챔버내 또는 PR2내에 형성되지 않을 때 이들 가스의 응답을 도시한다. 다음 80초동안, 플라즈마는 챔버내에만 형성되고, 마지막으로, 플라즈마는 160 내지 240초동안 챔버 및 PR2에 형성된다.
도 29(b)로부터, 플라즈마가 챔버내에 부딪칠 때, 방사된 CF4 및 N2O의 양은 감소되고 방사된 CO(CF4 변환 처리의 메인 부산물)의 양은 증가한다. PR2(40)(및 PR240내에 플라즈마를 형성)를 활성화하는 것은 추가로 CF4 방사를 감소하고 약 30%의 총 CF4 감소를 유발한다.
결과가 도시되지 않은 다른 실시예에서, 약 50%의 전체적인 감소는 약 2torr까지 PR2내의 압력을 증가시킴으로써 이루어진다. 그래서, 실시예는 본 발명의 장치가 양호하게 PFC를 감소시킨다는 것을 도시한다. 추가의 감소는 본 출원내에서 논의된 하나 이상의 추가의 PR2 특징을 통합함으로써 이루어질 수 있다. 또한, CF4가 PFC 가스를 변환하기 어렵기 때문에, 추가의 결과는 다른 PFC 가스의 변환을 위한 보다 나은 결과를 생성한다.
본 발명의 몇몇 다른 실시예를 논의함으로써, 본 발명에 따른 진공 라인으로부터 입자는 제거하는 많은 동등한 또는 다른 장치 및 방법이 당업자에게 명백할 것이다. 또한, 본 발명에 따른 처리 챔버로부터의 PFC 방사를 줄이는 많은 동등한 또는 다른 장치 및 방법이 당업자에게 명백할 것이다. 부가적으로, 비록 본 발명이 간략화 및 이해를 위한 도시 및 실시예로서 기술될지라도, 몇몇의 변화 및 변형이 행해진다는 것은 명백하다. 예를들어, 일실시예에서 본 발명의 기계적 입자 트랩은 외부 통로에 의해 둘러싸인 내부 통로로 기술되고, 그런 트랩은 제 2통로내의주변에 포함되지는 않지만, 대신 제 1통로로부터 떨어져 또는 위쪽으로 연장하는 제 1통로가 형성된다. 다른 실시예에서, 기술된 가스 통로는 도 28(a) 및 28(b)에 기술되고 도시된 또는 다른 방식으로 도시된 통로와 유사한 방식으로 래비린탈 모양( 및 중력 트랩을 포함한다)으로 설계된다. 실리콘 입자 트랩핑은 만약 전극에 수집된 실리콘 잔류물양이 불충분하면 PFC 분해를 향상시키기 위하여 화합물을 포함하는 석영 또는 다른 실리콘으로 채워진 분리된 필터 엘리먼트를 포함한다. 또한, 실리콘 필터 및 입자 트랩핑 시스템없는 실시예가 가능하다. 이들 실시예에서, PFC 변환 반응을 위한 SiH4 또는 O2같은 가스는 라인(253)같은 가스 공급 라인을 통하여 PR2(340)에 유입된다. 부가적으로, 도 17(a) 및 (b)과 19(a) 및 (b)에 도시된 DPA(40)의 실시예에 사용된 마그네트론은 PR2(40)의 다양한 실시예에서 플라즈마를 형성하기 위하여 사용될 수 있다. 명백한 변화 및 변형에 따라 이들 동등한 그리고 다른 변형은 본 발명의 범위내에 포함된다.
본 발명은 입자 물질 및 다른 잔류물질이 기판처리장치의 배출라인에 축적되는 것을 막으며 상기 기판처리장치로부터 PFC 방출을 감소시키는 효과를 가진다.
도 1은 본 발명의 장치가 부착될 수 있는 단순화된 화학기상증착 장치의 일 실시예를 나타낸 도면.
도 2는 도 1의 화학기상증착 장치에 본 발명을 접속하는 제 1방법을 나타낸 도면.
도 3은 도 1의 화학기상증착 장치에 본 발명을 접속하는 제 2방법을 나타낸 도면.
도 4(a)는 문없이 입자를 최적으로 감소시킬 수 있는(진공라인 세정) 본 발명의 장치에 대한 바람직한 실시예를 나타내는 도면.
도 4(b)는 문없이 도 4(a)에 도시된 진공라인 세정장치의 전면도.
도 4(c)는 장치의 중심 평면으로부터 취해진 도 4(a)에 도시된 진공라인 세정장치의 전면 수직단면도.
도 4(d)는 장치의 중심평면으로부터 취해진 도 4(a)에 도시된 진공라인 세정장치의 측면 수직단면도.
도 4(e)는 도 4(a)에 도시된 진공라인 세정장치의 접속부를 통한 전력공급의 단면도.
도 4(f)는 문을 포함하는 도 4(a)에 도시된 진공라인 세정장치의 사시도.
도 5는 도 4(a)에 도시된 전극에 접속되며 이 전극을 포함하는 전기 회로도.
도 6은 전형적인 질화실리콘 증착단계에 의해 발생된 입자에 대한 본 발명의 진공라인 세정장치의 한 실시예에서 정전기 콜렉터의 효과를 도시한 그래프.
도 7은 본 발명의 진공라인 세정장치의 일 실시예에서 입자의 중립 견인력에 비교하여 정전기력, 인력 및 열 이동력이 효과를 도시한 그래프.
도 8은 15초의 질화실리콘 증착공정후에 진공 포어라인(foreline)내의 실리콘 피이스상의 잔류물 축적을 도시한 마이크로그래프.
도 9는 도 8에 도시된 잔류물의 1그레인의 기본적인 그레인 크기를 도시한 마이크로그래프.
도 10은 본 발명을 검사하기 전에 수행된 실험동안 진공 포어라인내의 실리콘 피이스상에 증착된 입자 물질의 크기를 도시한 마이크로그래프.
도 11은 본 발명의 진공라인 세정장치의 제 2실시예에 대한 측단면도.
도 12는 본 발명의 진공라인 세정장치의 제 3실시예에 대한 측단면도.
도 13(a)은 본 발명의 진공라인 세정장치의 제 4실시예에 대한 측단면도.
도 13(b) 및 도 13(c)은 도 13(a)의 장치로 배출된 입자에 대한, 도 13(a)의 장치에 사용된 정전기 트랩의 효과를 도시한 도면.
도 14(a)도는 본 발명의 진공라인 세정장치의 다른 실시예에 대한 측단면도.
도 14(b)는 도 14(a)에 도시된 진공라인 세정장치의 실시예에서 한 전극 대 다른 전극의 표면적 비를 도시한 도면.
도 15는 도 14(a)에 도시된 진공라인 세정장치의 실시예에 대한 블록도.
도 16(a)은 본 발명의 진공라인 세정장치의 다른 실시예에 대한 측단면도.
도 16(b)은 도 16(a)에 도시된 진공라인 세정장치의 사시도.
도 17(a)은 플라즈마를 형성하기 위해 마이크로파 전력을 사용하는 본 발명의 진공라인 세정장치의 일 실시예에 대한 측단면도.
도 17(b)은 도 17(a)의 진공라인 세정장치의 전면 입면도.
도 18(a), 도 18(b) 및 도 18(c)은 도 17(a)의 장치에서 마이크로파 전력공급에 의해 발생된 전압파형을 도시한 그래프.
도 19(a)도는 플라즈마를 형성하기 위해 마이크로파 전력을 사용하는 본 발명의 진공라인 세정장치의 제 2실시예에 대한 측단면도.
도 19(b)는 도 19(a)의 진공라인 세정장치의 전면 입면도.
도 20은 본 발명의 유효성을 평가하는 검사를 실행할 때 사용된 본 발명의 진공라인 세정장치의 일 실시예에 대한 기본형의 측 단면도.
도 21은 PFC를 감소시키기 위해 최적화된 본 발명의 장치에 대한 일 실시예의 측단면도.
도 22는 본 발명의 PFC 감소장치의 제 2실시예에 대한 측단면도.
도 23은 본 발명의 PFC 감소장치의 제 3실시예에 대한 측단면도.
도 24는 본 발명의 PFC 감소장치의 바람직한 제 4실시예의 측단면도.
도 25는 본 발명의 PFC 감소장치의 제 5실시예에 대한 측단면도.
도 26은 본 발명의 PFC 감소장치의 제 6실시예에 대한 측단면도.
도 27은 본 발명의 PFC 감소장치의 제 7실시예에 대한 측 단면도.
도 28(a)은 본 발명의 PFC 감소장치의 다른 실시예에 사용된 가스 통과모듈을 사용하는 본 발명의 PFC 감소장치의 일 실시예에 대한 측면 투시 단면도.
도 28(b)은 도 28(a)의 가스통과 모듈설계를 사용하는 본 발명의 PFC 감소장치의 일 실시예에 대한 측면투시 단면도.
도 29(a)는 CF4 및 N2O 세정가스로부터 플라즈마를 형성한후 얻어진 질량 스펙트럼 데이터를 도시한 그래프.
도 29(b)는 본 발명의 일 실시예를 검사하기 위한 실험동안 측정된 특정 가스의 방출 피크를 도시한 그래프.
* 도면의 주요부분에 대한 부호의 설명*
10: 챔버 25: RF 전력공급원
31: 포어라인 32: 진공펌프 시스템
40: 플라즈마 세정장치 104: RF 매칭 유니트
106: DC/RF 필터 454: 박판 애노드
468: 하우징

Claims (20)

  1. 기판 처리 챔버의 배출라인내의 증착물을 최소화하기 위한 장치로서,
    유체 도관을 형성하는 대향 표면들을 갖는 제 1 및 제 2 부재
    - 상기 유체 도관은 인입구, 배출구, 및 상기 인입구와 상기 배출구 사이의 수집 챔버를 가지며, 상기 수집 챔버는 상기 유체 도관을 통해 흐르는 입자 물질을 수집하여 상기 수집 챔버로부터 상기 입자 물질의 배출을 억제하도록 구조화되고 배치되며, 상기 제 1 부재는 제 1 전극을 포함하고 상기 제 2 부재는 제 2 전극을 포함함 -;
    상기 유체 도관내의 에천트 가스들로부터 플라즈마를 형성 또는 유지하도록 적응되는 마이크로파 플라즈마 발생 시스템; 및
    상기 전극들에 동작가능하게 접속되며, 상기 대향 전극 표면들상에 전기적으로 하전되는 입자 물질을 수집하도록 상기 전극들 사이에 전압을 공급하기 위한 수단을 포함하는 입자 트래핑 시스템(particle trapping system)
    을 포함하는 증착물을 최소화하는 장치.
  2. 제 1 항에 있어서, 상기 에천트 가스들은 상기 기판 처리 챔버의 세정 동작동안 상기 기판 처리 챔버로부터 상기 배출라인을 통해 배출되는 것을 특징으로 하는 증착물을 최소화하는 장치.
  3. 제 1 항에 있어서, 상기 에천트 가스들 중 적어도 일부는 상기 장치의 배출라인 상단 및 상기 기판 처리 챔버의 하단으로 유입되는 것을 특징으로 하는 증착물을 최소화하는 장치.
  4. 제 1 항에 있어서, 상기 에천트 가스들 중 적어도 일부는 상기 유체 도관내에 직접 유입되는 것을 특징으로 하는 증착물을 최소화하는 장치.
  5. 제 1 항에 있어서, 상기 유체 도관은, 상기 수집 챔버로부터 상기 입자 물질의 방출을 억제하기 위해, 상기 제 1 및 제 2 전극에 의해 규정되고 상기 수집 챔버를 상기 인입구 및 상기 배출구와 각각 연결시키는 적어도 부분적으로 수직인 제 1 및 제 2 통로를 포함하는 것을 특징으로 하는 증착물을 최소화하는 장치.
  6. 제 5 항에 있어서, 상기 대향 전극 표면들 사이에 형성된 상기 유체 도관은 상기 인입구 및 상기 배출구 사이의 유체 도관내에 순차적으로 형성된 다수의 수집 챔버를 규정하는 것을 특징으로 하는 증착물을 최소화하는 장치.
  7. 제 6 항에 있어서, 상기 대향 전극 표면들은 꾸불꾸불한 유체 도관을 규정하는 것을 특징으로 하는 증착물을 최소화하는 장치.
  8. 제 6 항에 있어서, 상기 적어도 부분적으로 수직인 제 1 및 제 2 통로는 상기 유체 도관의 길이를 따라 연장되며, 상기 유체 도관은 상기 장치의 대향 측면들 사이에서 연장되는 폭을 가지는 것을 특징으로 하는 증착물을 최소화하는 장치.
  9. 제 8 항에 있어서, 상기 마이크로파 플라즈마 발생 시스템은 제 1 및 제 2 마그네트론을 포함하는 것을 특징으로 하는 증착물을 최소화하는 장치.
  10. 제 9 항에 있어서, 상기 제 1 및 제 2 마그네트론은 상기 제 2 마그네트론의 위상이 상기 제 1 마그네트론의 위상에 대해 180도 지연되도록 선택적으로 펄스를 발생시키는 것을 특징으로 하는 증착물을 최소화하는 장치.
  11. 제 10 항에 있어서, 상기 제 1 및 제 2 마그네트론은 상기 장치의 대향 측면들상에 배치되며, 상기 장치는 상기 제 1 및 제 2 마그네트론에 각각 동작가능하게 접속된 제 1 및 제 2 도파관을 더 포함하는 것을 특징으로 하는 증착물을 최소화하는 장치.
  12. 제 11 항에 있어서, 상기 제 1 및 제 2 마그네트론과 상기 제 1 및 제 2 도파관은 마이크로파들이 상기 적어도 부분적으로 수직인 상기 제 1 및 제 2 통로에서 상기 유체 도관의 폭을 관통하여 향하도록 배치되는 것을 특징으로 하는 증착물을 최소화하는 장치.
  13. 제 12 항에 있어서, 상기 제 1 및 제 2 전극 사이의 거리는 상기 제 1 및 제 2 마그네트론에 의해 발생된 상기 마이크로파들의 파장과 실질적으로 동일한 것을 특징으로 하는 증착물을 최소화하는 장치.
  14. 제 13 항에 있어서, 상기 제 1 및 제 2 전극을 전기적 접지에 접속시키는 스위치를 더 포함하며, 상기 전기적으로 하전된 입자 물질을 수집하기 위해, 기판 처리 동작동안 상기 제 1 및 제 2 전극에 전압이 인가되며, 상기 스위치는 플라즈마가 상기 에천트 가스들로부터 형성될 때 기판 세정 동작동안 상기 전극들을 접지에 접속시키는 것을 특징으로 하는 증착물을 최소화하는 장치.
  15. 제 10 항에 있어서, 상기 제 1 및 제 2 마그네트론은 상기 장치의 동일 측면상에 배치되며, 상기 장치는 상기 제 1 및 제 2 마그네트론에 동작가능하게 접속된 도파관을 더 포함하는 것을 특징으로 하는 증착물을 최소화하는 장치.
  16. 제 15 항에 있어서, 상기 제 1 및 제 2 마그네트론은 상기 적어도 부분적으로 수직인 제 1 통로에 마이크로파들을 제공하지만 상기 적어도 부분적으로 수직인 제 2 통로에는 마이크로파들을 제공하지 않도록 배치되는 것을 특징으로 하는 증착물을 최소화하는 장치.
  17. 기판 처리 챔버의 배출라인내의 증착물을 최소화하기 위한 방법으로서,
    증착 단계동안 상기 기판 처리 챔버로부터 배출되는 입자 물질을 입자 트래핑 시스템내에 트래핑하는 단계; 및
    상기 챔버의 세정 단계동안 상기 챔버로부터 에천트 가스들이 배출될 때, 마이크로파 플라즈마 발생 시스템에 의해 플라즈마를 형성하는 단계
    를 포함하는 증착물을 최소화하는 방법.
  18. 제 17 항에 있어서, 상기 입자 트래핑 시스템은 상기 증착 단계동안 상기 입자 트래핑 시스템에 입자들을 트랩시키기 위한 기계적 트랩 및 정전기 콜렉터(collector)를 포함하는 것을 특징으로 하는 증착물을 최소화하는 방법.
  19. 제 17 항에 있어서, 상기 세정 단계는 증착물들을 반응성 불소 종에 노출시킴으로써 상기 증착 단계동안 상기 기판 처리 챔버의 내부 표면들상에 수집되는 상기 증착물들을 제거하는 단계를 포함하는 것을 특징으로 하는 증착물을 최소화하는 방법.
  20. 제 19 항에 있어서, 상기 증착 단계는 상기 기판 처리 챔버에 위치되는 기판 상부에 질화 실리콘 막을 증착하는 단계를 포함하는 것을 특징으로 하는 증착물을 최소화하는 방법.
KR1019970055159A 1996-10-30 1997-10-27 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치 KR100503127B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/741,241 1996-10-30
US08/741,241 1996-10-30
US08/741,241 US6045618A (en) 1995-09-25 1996-10-30 Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment

Publications (2)

Publication Number Publication Date
KR19980033190A KR19980033190A (ko) 1998-07-25
KR100503127B1 true KR100503127B1 (ko) 2005-09-26

Family

ID=24979919

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970055159A KR100503127B1 (ko) 1996-10-30 1997-10-27 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치

Country Status (6)

Country Link
US (1) US6045618A (ko)
EP (1) EP0839929B1 (ko)
JP (1) JP4245198B2 (ko)
KR (1) KR100503127B1 (ko)
DE (1) DE69712154T2 (ko)
TW (1) TW345679B (ko)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6277347B1 (en) * 1997-02-24 2001-08-21 Applied Materials, Inc. Use of ozone in process effluent abatement
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6448795B1 (en) * 1999-02-12 2002-09-10 Alexei Ermakov Three coil apparatus for inductive measurements of conductance
GB2350804A (en) * 1999-06-12 2000-12-13 Johnson Matthey Plc Removing particulate matter from gas by thermophoresis and combustion
US6867859B1 (en) 1999-08-03 2005-03-15 Lightwind Corporation Inductively coupled plasma spectrometer for process diagnostics and control
US6361706B1 (en) * 1999-08-13 2002-03-26 Philips Electronics North America Corp. Method for reducing the amount of perfluorocompound gas contained in exhaust emissions from plasma processing
JP2001132638A (ja) * 1999-11-10 2001-05-18 Ebara Corp トラップ装置
JP2001252527A (ja) * 2000-03-13 2001-09-18 Seiko Epson Corp Pfcの処理方法および処理装置
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6791692B2 (en) * 2000-11-29 2004-09-14 Lightwind Corporation Method and device utilizing plasma source for real-time gas sampling
US6538734B2 (en) * 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
JP4707262B2 (ja) * 2001-05-18 2011-06-22 株式会社ランドマークテクノロジー 薄膜形成残渣処理装置
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
EP1481114A4 (en) * 2001-08-31 2005-06-22 Semitool Inc DEVICE AND METHOD FOR ELECTROCHEMICAL PROCESSING OF MICROELECTRONIC WORKPIECES
DE10159152A1 (de) 2001-12-01 2003-06-12 Mtu Aero Engines Gmbh Verfahren zur Gasreinigung
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP4196371B2 (ja) * 2002-08-20 2008-12-17 キヤノンアネルバ株式会社 ハロゲンガスの製造方法、ハロゲンガスの製造装置及びハロゲンガスの回収・循環システム
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
TW594337B (en) * 2003-02-14 2004-06-21 Quanta Display Inc Method of forming a liquid crystal display panel
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2005012855A2 (en) * 2003-07-25 2005-02-10 Lightwind Corporation Method and apparatus for chemical monitoring
US20050148199A1 (en) * 2003-12-31 2005-07-07 Frank Jansen Apparatus for atomic layer deposition
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20060276049A1 (en) * 2005-06-06 2006-12-07 Bailey Christopher M High efficiency trap for deposition process
JP4580833B2 (ja) * 2005-07-21 2010-11-17 株式会社日立国際電気 基板処理システム及びトラップ装置
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US8139672B2 (en) * 2005-09-23 2012-03-20 Qualcomm Incorporated Method and apparatus for pilot communication in a multi-antenna wireless communication system
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
EP1816668A2 (en) * 2006-02-01 2007-08-08 FEI Company Particle-optical apparatus with a predetermined final vacuum pressure
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
GB0612814D0 (en) * 2006-06-28 2006-08-09 Boc Group Plc Method of treating a gas stream
US20080047578A1 (en) * 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US20080072929A1 (en) * 2006-09-22 2008-03-27 White John M Dilution gas recirculation
US20080072822A1 (en) * 2006-09-22 2008-03-27 White John M System and method including a particle trap/filter for recirculating a dilution gas
WO2008042444A2 (en) * 2006-10-07 2008-04-10 Tbw Industries Vacuum line clean-out separator system
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
CN101468281B (zh) * 2008-01-23 2010-12-15 邵光震 低温等离子体放电净化高温废气的装置
TWI619153B (zh) * 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗
DE102008014654A1 (de) 2008-03-17 2009-09-24 Robert Bosch Gmbh Abgasbehandlungsvorrichtung für eine CVD-Vorrichtung, CVD-Vorrichtung sowie Abgasbehandlungsverfahren
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8707899B2 (en) * 2009-02-26 2014-04-29 Hitachi High-Technologies Corporation Plasma processing apparatus
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
CN102652351B (zh) * 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
ITBS20100108A1 (it) * 2010-06-17 2011-12-18 Italghisa S P A Dispositivo per il filtraggio di un fluido gassoso
WO2012054876A2 (en) * 2010-10-22 2012-04-26 Magee Scientific Corporation Method and analyzer for determining the content of carbon-containing particles filtered from an air stream
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5921168B2 (ja) 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
SE536165C2 (sv) * 2012-01-05 2013-06-11 Bencar Ab System för styrning av miljö i en reaktionsbox
US11716793B2 (en) * 2012-01-23 2023-08-01 Robert W. Connors Compact microwave oven
KR20140136594A (ko) * 2013-05-20 2014-12-01 삼성전자주식회사 배기 장치 및 이를 구비하는 박막 증착 설비
WO2015134156A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6582391B2 (ja) 2014-11-05 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
WO2017136216A1 (en) * 2016-02-01 2017-08-10 Retro-Semi Technologies, Llc Cleaning apparatus for an exhaust path of a process reaction chamber
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
EP3309815B1 (de) * 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung
KR102646623B1 (ko) * 2017-01-23 2024-03-11 에드워드 코리아 주식회사 플라즈마 발생 장치 및 가스 처리 장치
KR20180086669A (ko) 2017-01-23 2018-08-01 에드워드 코리아 주식회사 질소 산화물 감소 장치 및 가스 처리 장치
JP7169786B2 (ja) * 2018-06-25 2022-11-11 東京エレクトロン株式会社 メンテナンス装置
CN109530083B (zh) * 2018-11-28 2023-12-12 昆明理工大学 一种细粒连续干式磁选装置及使用方法
WO2020110262A1 (ja) * 2018-11-29 2020-06-04 カンケンテクノ株式会社 プラズマ生成ユニットおよびこれを用いた排ガス除害装置
WO2021113496A1 (en) * 2019-12-03 2021-06-10 Thrivaltech, Llc Induction feed through system
KR20210115683A (ko) 2020-03-16 2021-09-27 윤종호 호스클립용 체결공구
CN112195445B (zh) * 2020-10-23 2023-01-06 成都国泰真空设备有限公司 一种等离子体蒸发镀膜机
KR20230025590A (ko) * 2021-08-13 2023-02-22 삼성디스플레이 주식회사 배출 방법, 배출 시스템 및 이를 포함하는 기판 처리 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0289858A1 (en) * 1987-04-22 1988-11-09 Nihon Shinku Gijutsu Kabushiki Kaisha Fine particle collector trap for vacuum evacuating system
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
JPH045414A (ja) * 1990-04-20 1992-01-09 Agency Of Ind Science & Technol 排ガス浄化装置
US5422081A (en) * 1992-11-25 1995-06-06 Tokyo Electron Kabushiki Kaisha Trap device for vapor phase reaction apparatus
KR970008333A (ko) * 1995-07-13 1997-02-24 제임스 조셉 드롱 화학 증착(cvd) 장치로부터 잔류물을 세척하기 위한 방법

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) * 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) * 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
JPS56500067A (ko) * 1978-12-29 1981-01-22
JPS58101722A (ja) * 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) * 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) * 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) * 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) * 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPH0722151B2 (ja) * 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US5137701A (en) * 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) * 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4735633A (en) * 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JP2671009B2 (ja) * 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) * 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) * 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
EP0454346A1 (en) * 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Exhaust particulate filter
JPH04136175A (ja) * 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) * 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) * 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) * 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) * 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
DE4319118A1 (de) * 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) * 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
EP0289858A1 (en) * 1987-04-22 1988-11-09 Nihon Shinku Gijutsu Kabushiki Kaisha Fine particle collector trap for vacuum evacuating system
JPH045414A (ja) * 1990-04-20 1992-01-09 Agency Of Ind Science & Technol 排ガス浄化装置
US5422081A (en) * 1992-11-25 1995-06-06 Tokyo Electron Kabushiki Kaisha Trap device for vapor phase reaction apparatus
KR970008333A (ko) * 1995-07-13 1997-02-24 제임스 조셉 드롱 화학 증착(cvd) 장치로부터 잔류물을 세척하기 위한 방법

Also Published As

Publication number Publication date
EP0839929A1 (en) 1998-05-06
DE69712154D1 (de) 2002-05-29
DE69712154T2 (de) 2002-12-05
US6045618A (en) 2000-04-04
EP0839929B1 (en) 2002-04-24
KR19980033190A (ko) 1998-07-25
JP4245198B2 (ja) 2009-03-25
TW345679B (en) 1998-11-21
JPH10150032A (ja) 1998-06-02

Similar Documents

Publication Publication Date Title
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
US6194628B1 (en) Method and apparatus for cleaning a vacuum line in a CVD system
US8343317B2 (en) In situ cleaning of CVD System exhaust
KR102411638B1 (ko) 상류 플라즈마 소스들을 사용하는 챔버-후 저감
JP2001140076A (ja) シリコン−酸素−炭素の堆積処理を行う基板処理チャンバの排気ラインから残存物を除去するために改善された方法
KR20060003121A (ko) 플라즈마 장치, 플라즈마 장치용 가스 분포 어셈블리 및이에 따른 공정
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
WO2007016631A1 (en) Method of using nf3 for removing surface deposits
US6564810B1 (en) Cleaning of semiconductor processing chambers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120628

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee