JP4245198B2 - 基板処理チャンバの排気管路内の堆積を最小化する装置及び方法 - Google Patents
基板処理チャンバの排気管路内の堆積を最小化する装置及び方法 Download PDFInfo
- Publication number
- JP4245198B2 JP4245198B2 JP29904097A JP29904097A JP4245198B2 JP 4245198 B2 JP4245198 B2 JP 4245198B2 JP 29904097 A JP29904097 A JP 29904097A JP 29904097 A JP29904097 A JP 29904097A JP 4245198 B2 JP4245198 B2 JP 4245198B2
- Authority
- JP
- Japan
- Prior art keywords
- dpa
- gas
- plasma
- deposition
- pfc
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000012545 processing Methods 0.000 title claims description 99
- 230000008021 deposition Effects 0.000 title claims description 90
- 238000000034 method Methods 0.000 title claims description 72
- 239000000758 substrate Substances 0.000 title claims description 34
- 238000004140 cleaning Methods 0.000 claims description 128
- 239000002245 particle Substances 0.000 claims description 71
- 239000000843 powder Substances 0.000 claims description 39
- 238000005530 etching Methods 0.000 claims description 32
- 239000012530 fluid Substances 0.000 claims description 24
- 239000000428 dust Substances 0.000 claims description 21
- 229910052731 fluorine Inorganic materials 0.000 claims description 21
- 239000011737 fluorine Substances 0.000 claims description 21
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 20
- 238000011144 upstream manufacturing Methods 0.000 claims description 5
- 239000013618 particulate matter Substances 0.000 claims description 2
- WYTGDNHDOZPMIW-RCBQFDQVSA-N alstonine Natural products C1=CC2=C3C=CC=CC3=NC2=C2N1C[C@H]1[C@H](C)OC=C(C(=O)OC)[C@H]1C2 WYTGDNHDOZPMIW-RCBQFDQVSA-N 0.000 claims 1
- 239000007789 gas Substances 0.000 description 289
- 210000002381 plasma Anatomy 0.000 description 186
- 238000006243 chemical reaction Methods 0.000 description 119
- 238000000151 deposition Methods 0.000 description 87
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 61
- 229910052710 silicon Inorganic materials 0.000 description 53
- 239000010703 silicon Substances 0.000 description 52
- 238000002474 experimental method Methods 0.000 description 43
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 41
- 230000008569 process Effects 0.000 description 39
- 230000005484 gravity Effects 0.000 description 35
- 239000000463 material Substances 0.000 description 35
- 238000013461 design Methods 0.000 description 31
- 230000009467 reduction Effects 0.000 description 31
- 239000000047 product Substances 0.000 description 30
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 30
- 235000012431 wafers Nutrition 0.000 description 30
- 229910052581 Si3N4 Inorganic materials 0.000 description 29
- 239000006227 byproduct Substances 0.000 description 25
- 239000010419 fine particle Substances 0.000 description 25
- 238000005229 chemical vapour deposition Methods 0.000 description 22
- 239000010408 film Substances 0.000 description 22
- 230000005684 electric field Effects 0.000 description 20
- 230000001965 increasing effect Effects 0.000 description 20
- 230000000694 effects Effects 0.000 description 19
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 18
- 239000000919 ceramic Substances 0.000 description 18
- 229910052814 silicon oxide Inorganic materials 0.000 description 18
- 239000012717 electrostatic precipitator Substances 0.000 description 17
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 16
- 230000015572 biosynthetic process Effects 0.000 description 16
- 229910052760 oxygen Inorganic materials 0.000 description 16
- 238000009825 accumulation Methods 0.000 description 15
- 230000007246 mechanism Effects 0.000 description 15
- 239000001301 oxygen Substances 0.000 description 15
- 230000012010 growth Effects 0.000 description 14
- 235000012239 silicon dioxide Nutrition 0.000 description 14
- 229910052782 aluminium Inorganic materials 0.000 description 13
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 13
- 239000010453 quartz Substances 0.000 description 13
- 238000005137 deposition process Methods 0.000 description 11
- 239000008187 granular material Substances 0.000 description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 10
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 10
- 239000004809 Teflon Substances 0.000 description 10
- 229920006362 Teflon® Polymers 0.000 description 10
- 229910052751 metal Inorganic materials 0.000 description 10
- 239000002184 metal Substances 0.000 description 10
- 230000007935 neutral effect Effects 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- 239000000203 mixture Substances 0.000 description 9
- 238000012360 testing method Methods 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 238000009826 distribution Methods 0.000 description 8
- 230000004044 response Effects 0.000 description 8
- 239000007787 solid Substances 0.000 description 8
- 239000010409 thin film Substances 0.000 description 8
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 7
- 229910000077 silane Inorganic materials 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- 150000001875 compounds Chemical class 0.000 description 6
- 239000004020 conductor Substances 0.000 description 6
- 238000001816 cooling Methods 0.000 description 6
- 239000007800 oxidant agent Substances 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 230000002829 reductive effect Effects 0.000 description 6
- 229910021529 ammonia Inorganic materials 0.000 description 5
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 238000000354 decomposition reaction Methods 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 239000011810 insulating material Substances 0.000 description 5
- 229910052759 nickel Inorganic materials 0.000 description 5
- 230000001590 oxidative effect Effects 0.000 description 5
- 239000000376 reactant Substances 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 230000009471 action Effects 0.000 description 4
- 230000002411 adverse Effects 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 229910052681 coesite Inorganic materials 0.000 description 4
- 229910052906 cristobalite Inorganic materials 0.000 description 4
- 230000006698 induction Effects 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 229910052682 stishovite Inorganic materials 0.000 description 4
- 229910052905 tridymite Inorganic materials 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 238000002441 X-ray diffraction Methods 0.000 description 3
- 238000004458 analytical method Methods 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- IYRWEQXVUNLMAY-UHFFFAOYSA-N carbonyl fluoride Chemical compound FC(F)=O IYRWEQXVUNLMAY-UHFFFAOYSA-N 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000010494 dissociation reaction Methods 0.000 description 3
- 230000005593 dissociations Effects 0.000 description 3
- 238000001678 elastic recoil detection analysis Methods 0.000 description 3
- 230000005670 electromagnetic radiation Effects 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 230000001976 improved effect Effects 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000011859 microparticle Substances 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 230000000717 retained effect Effects 0.000 description 3
- 239000002210 silicon-based material Substances 0.000 description 3
- 241000894007 species Species 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 3
- 240000001549 Ipomoea eriocarpa Species 0.000 description 2
- 235000005146 Ipomoea eriocarpa Nutrition 0.000 description 2
- 229920006926 PFC Polymers 0.000 description 2
- 229910004541 SiN Inorganic materials 0.000 description 2
- 229910020776 SixNy Inorganic materials 0.000 description 2
- 229910000831 Steel Inorganic materials 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical group [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000005281 excited state Effects 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 230000020169 heat generation Effects 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 238000001819 mass spectrum Methods 0.000 description 2
- 238000003913 materials processing Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- -1 perfluoro compound Chemical class 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 239000012254 powdered material Substances 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 239000004576 sand Substances 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 150000003377 silicon compounds Chemical class 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000011343 solid material Substances 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 239000010959 steel Substances 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- 229910007264 Si2H6 Inorganic materials 0.000 description 1
- 229910004074 SiF6 Inorganic materials 0.000 description 1
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000003698 anagen phase Effects 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000000306 component Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 235000012489 doughnuts Nutrition 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000001941 electron spectroscopy Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 150000002221 fluorine Chemical class 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 230000017525 heat dissipation Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- LXPCOISGJFXEJE-UHFFFAOYSA-N oxifentorex Chemical compound C=1C=CC=CC=1C[N+](C)([O-])C(C)CC1=CC=CC=C1 LXPCOISGJFXEJE-UHFFFAOYSA-N 0.000 description 1
- 150000002927 oxygen compounds Chemical class 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 238000010298 pulverizing process Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 239000002910 solid waste Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- 238000010792 warming Methods 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H01L21/205—
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D45/00—Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces
- B01D45/04—Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia
- B01D45/06—Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia by reversal of direction of flow
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/32—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/34—Chemical or biological purification of waste gases
- B01D53/46—Removing components of defined structure
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
- B01J19/087—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
- B01J19/088—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
- B01J19/12—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
- B01J19/122—Incoherent waves
- B01J19/126—Microwaves
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/24—Stationary reactors without moving elements inside
- B01J19/248—Reactors comprising multiple separated flow channels
- B01J19/249—Plate-type reactors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
- H01J37/32844—Treating effluent gases
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
- H05H1/4645—Radiofrequency discharges
- H05H1/4652—Radiofrequency discharges using inductive coupling means, e.g. coils
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D2257/00—Components to be removed
- B01D2257/20—Halogens or halogen compounds
- B01D2257/206—Organic halogen compounds
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D2259/00—Type of treatment
- B01D2259/80—Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
- B01D2259/818—Employing electrical discharges or the generation of a plasma
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2451—Geometry of the reactor
- B01J2219/2453—Plates arranged in parallel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2451—Geometry of the reactor
- B01J2219/2456—Geometry of the plates
- B01J2219/2458—Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2461—Heat exchange aspects
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2461—Heat exchange aspects
- B01J2219/2467—Additional heat exchange means, e.g. electric resistance heaters, coils
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2469—Feeding means
- B01J2219/247—Feeding means for the reactants
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2474—Mixing means, e.g. fins or baffles attached to the plates
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2475—Separation means, e.g. membranes inside the reactor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2476—Construction materials
- B01J2219/2483—Construction materials of the plates
- B01J2219/2487—Ceramics
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2491—Other constructional details
- B01J2219/2492—Assembling means
- B01J2219/2493—Means for assembling plates together, e.g. sealing means, screws, bolts
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2491—Other constructional details
- B01J2219/2492—Assembling means
- B01J2219/2496—Means for assembling modules together, e.g. casings, holders, fluidic connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
- H05H1/461—Microwave discharges
- H05H1/4622—Microwave discharges using waveguides
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H2245/00—Applications of plasma devices
- H05H2245/10—Treatment of gases
- H05H2245/17—Exhaust gases
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02C—CAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
- Y02C20/00—Capture or disposal of greenhouse gases
- Y02C20/30—Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P70/00—Climate change mitigation technologies in the production process for final industrial or consumer products
- Y02P70/50—Manufacturing or production processes characterised by the final manufactured product
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S55/00—Gas separation
- Y10S55/30—Exhaust treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Health & Medical Sciences (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Toxicology (AREA)
- General Health & Medical Sciences (AREA)
- Electromagnetism (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Biomedical Technology (AREA)
- Environmental & Geological Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- ing And Chemical Polishing (AREA)
Description
【発明の属する技術分野】
本発明は、一般に半導体処理装置に関し、さらに詳しくは、反応チャンバに接続された真空排気管路の内部から汚染物及び残留物を除去する方法及び装置、ならびに反応チャンバからの過フルオロ化合物(PFC)ガス放出を削減する方法及び装置に関する。
【0002】
【従来の技術】
化学気相堆積(CVD)の処理中、反応チャンバ内に堆積ガスが放出され、処理対象の基板の表面に薄膜層が形成される。このようなCVD処理中、反応チャンバの壁などの領域にも、望ましくない堆積が発生する。しかし、これらの堆積ガスの個々の分子が反応チャンバに滞留する時間は比較的短いので、堆積工程で消費され、ウェハ又は反応チャンバの壁のいずれかに堆積されるのは、反応チャンバに放出される分子のうちのわずかな一部分にすぎない。
【0003】
消費されなかったガス分子は、部分的に反応した化合物及び反応副生物と共に、一般に「フォアライン(foreline)」(補助真空側配管)と呼ばれる真空管路を介して反応チャンバから排出される。この排気ガス中の化合物の多くは、依然として非常に反応性の強い状態であったり、フォアライン内に望ましくない堆積物を形成できる残留物又は粉粒体を包含している。時間が経過すると、この粉状残留物及び/又は粉粒体の堆積は、問題を生じる。第1に、この物質はしばしば発火性物質であり、標準的な定期クリーニング操作中に真空密閉が破れ、フォアラインが大気条件に暴露されたときに、問題を引き起こすおそれがある。第2に、適切なクリーニングを行なわなければ、フォアラインに充分な量の堆積物質が蓄積され、フォアライン及び/又はその関連真空ポンプが詰まることがある。たとえ定期的にクリーニングを行なっても、物質の蓄積が真空ポンプの正常な動作を妨げ、ポンプの耐用寿命を極度に短縮する可能性がある。また、固体物質はフォアラインから反応チャンバに逆流し、反応段階を汚染し、ウェハの歩留りに悪影響を及ぼす場合がある。
【0004】
このような問題を避けるために、フォアラインの内部表面を定期的にクリーニングし、堆積した物質を除去する。この作業は、反応チャンバの壁及び反応チャンバの同様の領域から望ましくない堆積物を除去するために用いられる、標準反応チャンバクリーニング操作中に行われる。一般的な反応チャンバクリーニング技術は、フッ素などのエッチング・ガスを使用して、反応チャンバの壁及びその他の領域から堆積した物質を除去することを含む。エッチング・ガスを反応チャンバに導入し、プラズマを発生させることにより、エッチング・ガスを堆積物質と反応させて、反応チャンバの壁から堆積物質を除去する。一般的に、各ウェハごと、又はウェハN枚ごとに、堆積段階の合間にこのようなクリーニング手順が実施される。
【0005】
反応チャンバ内の堆積物質に近接する領域にプラズマが発生するので、堆積物質を反応チャンバの壁から除去することは比較的簡単である。フォアラインは反応チャンバの下流に当たるので、フォアラインから堆積物質を除去することは、より困難である。一定の期間内に、反応チャンバ内の大部分の場所は、フォアライン内の場合より多くのエッチング・ガスのフッ素原子と接触する。したがって、一定の期間内に、反応チャンバはクリーニング工程によって適切にクリーニングができるが、フォアラインには残留物及び同様の堆積物が残る。
【0006】
フォアラインを適切にクリーニングしようとするには、クリーニング作業の時間を増大しなければならない。しかし、クリーニング作業の長さを増大すると、ウェハのスループットに悪影響が生じるので、望ましくない。また、そうした残留物の蓄積のクリーニングは、クリーニング段階の反応物が、フォアライン内の残留物と反応できる状態で、フォアライン内へ排気されるという程度に制限される。システムや適用分野によっては、排気される反応物の寿命は、フォアラインの端部又は中央部分にさえも到達するのに充分ではない。これらのシステムや適用分野では、残留物の蓄積はさらに大きい問題である。したがって、半導体処理システムのフォアラインを充分かつ完全にクリーニングするための装置、及びそれを実行する方法が必要である。
【0007】
フォアラインのクリーニングに使用されてきた1つの方法は、プラズマ・エンハンストCVD技術を用いて、排気ガス中の反応性化合物を電極表面の薄膜堆積物として抽出するスクラブ・システムに依存するものである。スクラブ・システムは、反応物を固体膜として最大限に除去するように設計され、表面積の大きいスパイラル電極を使用する。スパイラル電極は、フォアラインの端部付近のブロワ・ポンプとメカニカル・ポンプとの間に配置された取り外し可能な容器内に包含される。電極に充分な量の固体廃棄物が堆積した後、廃棄及び交換のために容器を取り外すことができる。
【0008】
【発明が解決しようとする課題】
この先行技術による方法は、システムが堆積される固体物質を収集するための領域を表面積の大きい電極に依存するという点で、問題がある。表面積の大きい電極を収容するために、システムはどうしてもかさばり、大きくなる。さらに、取り外し可能な容器が、交換及び適切な処分を必要とする使い捨て製品であるので、この先行技術のスクラブ・システムの稼働に、余分の経費がかかる。また、このスクラブ・システムは真空フォアラインの開始部分より下流に配置され、したがって管路のこの部分に堆積した粉状物質や粉粒体の除去は保証されない。 以上のことから、フォアラインをクリーニングするための改善された方法及び装置が望まれることが分かる。
【0009】
CVD及びその他の基板処理装置の別の問題点は、反応チャンバからフォアラインを介して排出されるガス及び副生物の種類に関係がある。例えば、クリーニング・プラズマ内のガスの解離が完全ではなく(適用分野によっては、導入されたガス分子の10%しか解離しない)、またクリーニング・ガスの個々の分子の反応チャンバにおける滞留時間が比較的短いため、反応チャンバに放出された分子のうち小部分しか堆積物質と反応しない。エッチング・ガス反応に加わらないガス分子は、食刻された物質及び反応副生物と共に、一般に「フォアライン」と呼ばれる真空管路を介して反応チャンバから排出される。排出されたガスは、半導体プロセスの放出副生物となる。
【0010】
クリーニング用エッチング・ガスのように半導体産業で使用されるフッ素を包含するガスの多くは、過フルオロ化合物又は略して“PFC”と呼ばれる。比較的一般的に使用されるPFCの一部として、CF4、C2F6、NF3、及びSF6といった類いのガスが挙げられる。これらのガスは寿命が長いことが知られており(CF4 の場合、最高50,000年)、また地球温暖化の大きい潜在的要因であるとも考えられている。したがって、これらのガスを大気中に放出することは潜在的に有害であり、政府やその他の規制の対象になりつつある。したがって、CVD反応チャンバのような半導体処理装置からのPFC放出を削減することが重要である。
【0011】
【課題を解決するための手段】
本発明は、粉粒体及びその他の残留物が基板処理チャンバの排気管路内に蓄積するのを実質的に防止する装置を提供し、かつ/又は、そうした処理チャンバからのFPF放出を削減することにより、先行技術における上記の問題を解決する。本発明の様々な実施形態を、特に粉粒削減又はPFC放出削減のいずれかのために設計し、最適化することができる。また、特定の基板処理業務用として、粉粒及びPFC放出の両方を削減するように最適化した実施形態を設計することも可能である。
【0012】
本発明は、プロセス透過的でありながら、これらの目標を達成する。すなわち、好適な実施形態において、本発明の作業は、適宜、フォアライン内の粉粒体の蓄積を防止するため、又はPFC放出を削減するために、追加処理時間がかからない。また、一部の好適な実施形態では、本発明は追加ガス及び/又は消耗部品を使用する必要が無い。
【0013】
粉粒体削減のために設計され最適化された、本発明による装置の1つの実施形態では、1対の容量結合型電極が、装置の入口と出口の間に配置された複雑なガス通路を画成する。基板処理チャンバから排気されたときに(例えばCVD段階中)、これまでの技術では真空管路内に集まる粉末残留物及びその他の粉粒体が、このガス通路内で捕捉される。この装置は、電極に電力を供給してガス通路内にプラズマを形成するプラズマ発生システムを含むことができる。プラズマは、クリーニング・サイクル中にガス通路を介して排出される未反応排ガスから形成される。プラズマから生じる成分は捕捉された粉粒体と反応して、粉粒体をガス状生成物に変換し、これは排気管路を通してそこから容易に排出される。
【0014】
別の実施形態における本発明の装置は、流体導管を画成する相対する表面を有する第1及び第2部材を含む。この流体導管は入口、出口、及び前記入口と前記出口の間の集塵チャンバとを有し、集塵チャンバは流体導管内を流れる粉粒体を補集し、かつ粉粒体が集塵チャンバから出て行くのを防止する。前記流体導管内でエッチング・ガスからプラズマを発生させるために、装置にマイクロ波プラズマ発生システムを動作可能に結合する。前記プラズマから生じる成分は、集塵チャンバで補集された粉粒体と反応してガス状生成物を形成する。この生成物は、流体導管からポンプで排出することができる。この実施形態の装置の好適な態様では、第1及び第2部材をそれぞれ電極とし、電極の表面に粉粒体を収集するために2つの電極間に電圧を印加する粒子捕捉システムをも装置に含める。プラズマは、電気的に補集されたこの粉粒体とも反応し、粉粒体を装置からポンプで排出できるガス状生成物に変換する。
【0015】
ガス通路は、重力の作用によって通路内を流れる粉粒体を補集すると共に、粉粒体が集塵チャンバから出ていくのを防止するように構成され配置された、少なくとも1つの集塵チャンバを含む。さらに、電極の少なくとも一方に電圧を印加し、通路内を流れる粉粒体を補集し捕捉するのに役立つ電界(voltage field )を電極間に形成する。
【0016】
別の実施形態では、本発明は、半導体処理装置からのPFC放出を削減するように設計され、最適化されている。こうした装置の1つの実施形態は、流体導管を画成する容器チャンバ(vessel chamber)を含む。PFC酸化剤の供給源は流体導管内にあり、プラズマ発生システムは、装置からポンプで排出される放出PFCガスからプラズマを形成する。プラズマから生じる成分はPFC酸化剤と反応して、放出PFCガスを低害の水溶性非PFCガス状生成物及び副生物に変換する。
【0017】
本発明の装置の好適な実施形態は、ケイ素を包含するフィルタ内に入ったPFC酸化剤を用意する。プラズマ発生システムは、装置からポンプで排出される放出PFCガスからプラズマを形成する。プラズマから生じる成分は、フィルタ内のケイ素包含化合物と反応し、放出PFCガスを低害の非PFCガス状生成物及び副生物に変換する。本実施形態の好適な態様では、ケイ素包含化合物はケイ素酸化物とする。
【0018】
本発明の別の実施形態では、気体ケイ素源及び/又は酸素源を装置に導入し、PFC酸化剤を提供する。気体ケイ素源及び/又は酸素源とPFCガスからプラズマが形成される。プラズマから生じる成分は放出PFCガスと反応して、これを低害の非PFCガス状生成物及び副生物に変換する。
【0019】
本発明のさらに別の実施形態では、粒子捕捉及び補集システムにより、基板処理チャンバに接続された排気管路内に堆積した粒子を削減し、補集した粒子及び残留物からPFC酸化剤を得る。粒子捕捉及び補集システムは、ケイ素を包含する残留物を生成する堆積工程からそうした残留物を捕捉する。プラズマ発生システムは、放出PFCガスからプラズマを形成する。プラズマから生じる成分は、補集された残留物と反応して、放出PFCガスを低害の非PFCガス状生成物及び副生物に変換する。
【0020】
こうした実施形態の1つの態様では、1対の容量結合型電極が複雑なガス通路を画成する。電極にDC電圧又はAC電圧を印加し、通路内に電界を形成する。この電界は、通路を介して排出される負の荷電粒子を一方の電極に引き寄せ、正の荷電粒子をもう一方の電極に引き寄せる。画成された通路はまた、通路を介して排出される粉粒体を重力の作用によって捕捉する、少なくとも1つの領域(集塵チャンバ)をも含む。通路を介して排出されるPFCガスは、電極に印加された高周波(RF)電力にさらされ、励起してプラズマ状態になる。プラズマから生じる成分は、集塵チャンバで捕捉されたケイ素残留物の微粒子と反応し、PFCガスを非PFCガス状副生物に変換する。
【0021】
本発明の上記及びその他の実施形態をその利点及び特徴と共に、添付の図面に照らして、以下でさらに詳しく説明する。
【0022】
【発明の実施の形態】
I.典型的半導体処理チャンバ
本発明の装置は、様々な種類の半導体処理装置と共に使用することができる。1つの適切な装置として化学気相堆積装置を図1に示す。これは、簡素化した平行平板型化学気相堆積システム10の断面図である。システム10は、真空チャンバ15内のサセプタ12に搭載したウェハ(図示せず)に堆積ガスを散布するためのガス分配マニホルド11を含む。サセプタ12は熱応答性が高い。サセプタ12(及びサセプタ12の上部表面に支持されたウェハ)は、下部装填(ローディング)/排出(オフローディング)位置と、マニホルド11に近接する上部処理位置との間を、制御可能に移動することができる。
【0023】
サセプタ12とウェハは、処理位置14にあるときは、環状真空マニホルド24に排気する間隔配置された複数の穴23を有するそらせ板17によって取り囲まれる。処理中、マニホルド11のガス入口は、矢印21で示すように、ウェハの表面全体に放射方向に均等に分配される。次に、ガスはポート23から環状真空マニホルド24へ排気され、さらに真空ポンプシステム32によってにフォアライン31を通って排気される。マニホルド11に達する前に、堆積ガスとキャリア・ガスはガス管路18を介して混合チャンバ19に供給され、ここで混合された後、マニホルド11へ送られる。
【0024】
高周波(RF)電源装置25からマニホルド11に印加されるRFエネルギによって、ウェハ隣接部に制御されたプラズマが形成される。ガス分配マニホルド11はRF電極であり、サセプタ12は接地される。高周波電源装置25は、真空チャンバ15に導入される活性種の解離を強化するために、マニホルド11に単一周波数又は混合周波数のRF電力(又はその他の所望のバリエーション)のいずれかを供給することができる。
【0025】
円形外部ランプ・モジュール26は、石英製のウィンドウ28を通してサセプタ12の環状外周部に平行(collimated)環状パターン光27を当てる。こうした熱分布は、サセプタの自然熱損失パターンを補償し、堆積を行なうための急速かつ均等なサセプタとウェハの加熱を達成する。
【0026】
モータ(図示せず)は、サセプタ12を処理位置14と下部のウェハ装填位置との間で上下移動させる。ガス管路18及びRF電源装置25に接続されたモータ、ガス供給バルブ(図示せず)は、一部だけが図示されている制御ライン36を介してプロセッサ34によって制御される。プロセッサ34は、メモリ38のようなコンピュータ読出可能媒体に保存されたコンピュータ・プログラムの制御下で動作する。コンピュータ・プログラムは、特定の工程のタイミング、ガスの混合、反応チャンバの圧力、反応チャンバの温度、RF電力レベル、サセプタの位置、及びその他のパラメータを指示する。
【0027】
一般的に、反応チャンバのライニング、ガス入口マニホルド面板、サポート・フィンガ13、及びその他の様々な反応チャンバのハードウェアは一部又は全部が、陽極酸化アルミニウムなどの材料から形成される。こうしたPECVD装置の一例は、本願と同一譲受人に譲渡された、"Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process"と称する米国特許第5,000,113号明細書に記載されている。
【0028】
上記反応チャンバの記述は主として分かりやすく説明するためであって、本発明は、電子サイクロトロン共鳴(ECR)プラズマCVD装置や誘導結合型RF高密度プラズマCVD装置など、他のCVD装置に使用することができる。本発明はまた、熱CVD装置、プラズマ・エッチング装置、物理蒸着装置、及びその他の基板処理装置にも使用することができる。本発明の装置及び真空管路内の堆積を防止する方法は、特定の半導体処理装置や特定の堆積法又はエッチング工程や方法に限定されない。
【0029】
II.本発明の典型的使用法
CVD反応装置10によって行われる化学気相成長工程などの半導体処理作業中に、様々な気体排出物や汚染物質が真空チャンバ15から真空管路31に排出される。実行される特定の作業によって、これらの排出物は、フォアラインを通して排出されるときにフォアライン内に残留物又は同様の粉末状物質を残す、部分的に反応した生成物や副生物などの粉粒体、又はPFCガスのいずれか、あるいはその両方を含むことがある。本発明は、フォアライン内のこうした粉粒体の堆積を防止し、及び/又は真空チャンバ15から放出されるPFCガスを削減する。本発明の様々な実施形態は、こうした微粒子の堆積を防止するか、あるいはPFCガスの放出を削減するために特に設計し、最適化することができる。また、本発明の一部の実施形態は、微粒子の堆積及びPFCの放出の両方を削減するように、最適化され得る。
【0030】
図2は、図1の簡素化CVD装置に本発明の装置を取り付けた状態の断面図であるが、この図2から分かるように、本発明の装置は排気ガス源すなわち反応チャンバの下流に配置する。この装置は、真空フォアラインに接続するか、又は真空フォアラインの一部と置換することができる。図2では、真空ポンプシステム32と真空マニホルド24の間に、真空管路31の一部分に沿って下流プラズマ・クリーニング装置(以下“DPA”という)40を取り付ける。その位置のため、真空チャンバ15から排出されたガスは、必ずDPA40を通過する。DPA40は、真空管路31に沿ったどの位置にでも配置できるが、真空チャンバ15から排気されたガスが、真空管路31のいずれかの部分を通過する前にDPA40を通過するように、排気マニホルド24のできるだけ近くにDPA40を配置することが望ましい。
【0031】
また、図3に示すように、2つ以上のDPAを真空管路31に接続することも可能である。こうした構成を使用して、例えば微粒子の補集のために最適化された2台のDPAを使用することにより、真空ポンプに微粒子や残留物が堆積するのをさらに防止することができる。図3に示す構成では、第2のDPA42は、DPA40の下流でポンプ32の直前に配置する。粉粒体がDPA40をすり抜けても、その粉粒体はDPA42内で捕捉され、気体に変換される。DPA40及び42は両方とも、単一のRF電源装置44を使用し、電力をスプリッタ46で分割することにより駆動することができる。任意選択的に、DPA40及び42はそれぞれを別個のRF電源装置で駆動することもでき、あるいは両方とも反応チャンバ10に接続された主RF電源装置で駆動することもできる。
【0032】
このような2台のDPA構成を使用し、PFC削減のために最適化された2台のDPAを使用することにより、PFCガスの放出をさらに抑制することができる。あるいは、代替的に、微粒子削減のために最適化された1台のDPAと、PFC削減のために最適化された1台のDPAとを含む、2台のDPA構成とすることもできる。PFC削減及び微粒子補集のために最適化された別々のDPAを使用する場合、微粒子補集のために最適化されたDPAを、PFC削減用DPAよりフォアラインの上流に配置することが望ましい。こうした構成は、フォアラインの下流だけでなくフォアライン全体の微粒子の堆積をよりよく防止するのに役立ち、またPFC削減DPA内における潜在的に望ましくない微粒子の堆積をも軽減できる。
【0033】
フォアライン内の微粒子堆積の削減及び/又はPFC放出の削減のために構成され最適化された、DPA40の様々な構成及び実施形態の詳細について、以下で説明する。これらの実施形態は、説明のために掲げるだけである。いかなる形でも、本発明がこれらの特定の構成や実施形態に限定されるとは解釈されないものとする。
【0034】
A.微粒子削減のために最適化されたDPA40の特定の実施形態
本発明の一部の実施形態は、反応チャンバから排出される微粒子や残留物のフォアライン内部における堆積を削減するように構成され、最適化されている。そうした粉粒体の一例として、シラン(SiH4)、窒素(N2)及びアンモニア(NH3)を前駆物質として使用する窒化ケイ素膜の成長中に、SixNyHz、SixHy、及びケイ素から成る茶色の粉末の形態の残留物がフォアラインに観察されてきた。この残留物の堆積は、SiH4+N2+NH3の反応の半反応副生物によるものと考えられる。本願発明者が知る限りでは、シランを基体にした窒化ケイ素CVD法は、最も多くの微粒子を発生する基板処理法の中に数えられる。しかし、他の基板処理法でも微粒子の堆積や残留物が発生することがある。例えば、同様の残留物は、ジシラン(Si2H6)や有機源など、他の気体や液体の前駆物質を用いて窒化ケイ素層を成長させるときにも形成される。残留物の堆積は中でも特に酸窒素膜、酸化ケイ素、炭化ケイ素、及び無定形ケイ素膜の成長中にも発生し、また、プラズマ・エッチングやその他の処理段階でも発生することがある。 本発明の微粒子削減の実施形態は、集塵チャンバで粉粒体を捕捉し、真空フォアライン内に排出された反応ガスと集塵チャンバ内の残留物及び粉粒体を励起してプラズマ状態にすることによって、そうした残留物及び粉粒体の堆積を防止する。プラズマは、集塵チャンバで捕捉された残留物及び粉粒体と反応して、ガス状生成物及び副生物を形成し、これらは管路内に堆積物を形成したり凝縮することなく、DPA及び真空管路を通して排出することができる。
【0035】
運転中、堆積ガスは真空チャンバ15から真空管路31を介して排出されるので、ガスからの粉粒体及び残留物は、DPA内のガス流路の内部表面に堆積する。粉粒体及び残留物の除去は、DPA40を起動し、DPA内にプラズマを形成することによって達成される。DPA40は、エッチング・ガスを真空チャンバ15から排気するクリーニング・サイクル中に起動し、そうしたプラズマを形成する。
【0036】
DPA40は起動すると電界を形成し、それにより、DPA内を通過する排気ガス(エッチングガス)をプラズマ状態にし、プラズマを形成する。プラズマは、DPA40内における粉粒体及び残留物の分解を強化してガス状生成物及び副生物を発生するが、これらはフォアラインを介して真空ポンプにより排出することができるので、フォアライン内の微粒子の堆積や残留物の蓄積が防止される。例えば、DPA40内の残留物の堆積が、先に窒化ケイ素の堆積に関連して述べたように、SixNyHz、SixHy、及びケイ素元素から成る茶色の粉末状であり、クリーニング・サイクル中に使用するエッチング・ガスがCF4とN2Oの混合物である場合、DPA40によって形成されるプラズマは、残留物をSiFx、COF2、F2、SiOF2、CO及びCO2、NO、O及びO2などのガス状成分に分解すると考えられる。
【0037】
適用用途によっては、DPAに排出されたエッチング・ガスからプラズマを形成するのではなく、DPA40が実際には基板処理チャンバで形成されたプラズマを維持する場合もある。つまり、これらの適用用途では、処理チャンバ内で形成されたプラズマの全部又は一部が、処理チャンバの下流でも活性状態である。これは、例えば、プラズマが非常に活性の高いフッ化種(fluorinated species )から形成される、処理チャンバのクリーニング作業中に発生することがある。プラズマから生じる成分は、以前として励起された状態すなわちプラズマ状態のままで、処理チャンバからフォアライン及びDPAに排出される。したがって、これらの実施形態では、DPA40の電界は、プラズマを新たに形成するのではなく、実際にはプラズマを維持することができる。プラズマがDPA内で維持されるか、それとも新たに形成されるかによって、DPAの設計及び動作のいずれも変更する必要は無い。
【0038】
DPA40は、大部分の実施形態では、クリーニング・サイクル中にのみ起動してプラズマを形成及び/又は維持するが、他の実施形態では、CVDガスをさらに反応させるため、堆積サイクル中及びクリーニング・サイクル中の両方でプラズマを維持することが可能である。そうした構成の場合、以下でさらに詳細に説明するように、堆積サイクル中にDPAの上流に、又はDPAに直接、追加エッチング・ガスを導入することができる。
【0039】
DPA40内での通常の堆積により残留物を補集するだけでなく、様々な好適な実施形態のDPA40は、真空チャンバ15から排出された粉粒体をDPA内で捕捉し、粉粒体がDPAの下流に堆積できないように特に設計する。捕捉は、以下で詳しく説明するように、機械、静電気、及び/又は伝熱的(thermophoretic)捕捉機構を用いて行なう。いったん捕捉された粉粒体は、クリーニング工程中にプラズマの活性種と反応してガス状副生物を形成し、真空管路31を介して排出されるまで、DPA40の中に維持される。
【0040】
これらの実施形態では、DPA内にプラズマを形成又は維持するために電圧を印加することなく、効果的に微粒子の堆積を削減することができる。これは、例えば、真空チャンバのクリーニング中にエッチング・ガス(例:フッ素)の電離密度が充分に高く、クリーニング・プラズマ内に生成される自由基が、DPAに排出されたときもまだ励起状態を維持するほど充分に長い場合に、可能である。そうした励起状態では、自由基が捕捉された粉粒体と反応することができ、上述のとおり粉粒体はガス上生成物に変換される。
【0041】
容量結合電極又は誘導結合コイルにHF(高周波数)又はRF(無線周波数)の電力を印加するなど様々な周知の技術を使用したり、マイクロ波又はECR技術などにより、DPA40内でプラズマを発生させることができる。こうした方法の一部の特定の実施形態について、以下で詳しく説明する。以下で説明する各々の実施形態では、費用効率的な理由から、説明するDPAをプロセス透過的に設計することが望ましい。つまりDPA40は、余分なクリーニング・ガスや余分なクリーニング時間を使用する必要無く、フォアライン内の微粒子の堆積を防止するように設計することが望ましい。また、DPAが、薄膜の特徴に対し不均一性、微粒子の汚染、応力など、いかなる悪影響をも及ぼさないことが望ましい。
【0042】
1.好適な実施形態
図4の(a)〜(f)は、残留物及び微粒子の堆積を削減するために構成し最適化したDPA40の好適な実施形態の様々な斜視断面図である。図4(a)は、DPA40の扉を取り外した状態の正面斜視図である。図4(b)はDPAの正面図(扉を取り外した状態)である。図4(c)は、DPAの中心の平面に沿った正面斜視断面図である。図4(d)は、DPAの中心の平面に沿った側面斜視断面図である。図4(e)はDPA40への電源貫通接続部(power feed through connection )の断面図である。また図4(f)は、扉及びハンドルを取り付けた状態のDPA40の斜視図である。
【0043】
図4の(a)〜(f)に示すように、DPA40は入口50及び出口52を有する(図4(c)参照)。入口50と出口52の間には、1対の相対するアルミニウム電極すなわち陰極56及び陽極58によって画成される流体導管54(ガス流路)がある(図4(a)参照)。DPA40は、継手機構64、66を介してフォアラインに接続する(又は反応チャンバに直接接続する)(図4(a)参照)。例えば1つの実施形態では、継手機構64を用いてDPA40を反応チャンバの排気口に直接接続し、フォアラインの開始部をDPAの継手機構66に接続する。基板処理チャンバ(反応チャンバ)からフォアラインに排出される気体及び粉粒体は、入口50からDPH40に流入し、出口52から流出する。
【0044】
取外し可能なアルミニウムの扉63(図4(d))は、後板65と共にガス流路54を閉囲する。アルミニウムの扉63及び後板65は、電極(陽極58)に電気的に結合する。電極56、58、扉63、及び後板65は、DPA40に排出されたガスが漏れるのを防止する、閉囲された真空チャンバ(流体導管54)を形成する。扉63及び後板65はそれぞれセラミック絶縁板71を含み(図5(d))、これは電極56、58と接触して密封状態を形成し、DPAに排出されたガスが矢印60で示すガス流路以外に移動するのを防止する(図4(b))。好適な実施形態では、扉63は、アルミニウムの扉とセラミック絶縁層71との間にテフロン・クッション73を含む(図4(d))。テフロン・クッション73は熱膨張率がセラミック絶縁層71より高く、また比較的柔らかいので、破損したりひび割れることなく膨張することができる。DPA40が活性化してプラズマを形成すると、熱が発生し、テフロン層73が膨張し、セラミック絶縁層71が電極56、58に押しつけられる。これにより、扉63の適切な密閉状態が確保されるので、ガスはDPAから漏出しない。
【0045】
扉63はねじ59によりDPA40に取り付け(図4(f))、ねじを取り外すことにより、ハンドル67を持って取り外すことができる(図4(f))。いったん取り外した後、DPA40の内部を掃除したり、アルコールなどの溶液で手拭きしたり、あるいは長時間の使用後又はその他の理由により発生した微粒子の堆積又は残留物を真空吸引して除去することなどができる。好適な実施形態では、ハンドル67は、プラスチックなど熱伝導率の低い材料から形成する。
【0046】
電極56、58は4つの絶縁プラグ61(好適な実施形態ではセラミックで形成する)によって相互に電気的に分離する(図4(a))。これらの絶縁プラグは、陰極保持器と呼ばれることもある。図から分かるように、電極56、58は、陰極保持器の一部分を収容するために、機械加工によって形成された溝を有する。図面には、DPAの正面側に2つの陰極保持器61が示され、残りの2つはDPAの背面に同様に配置されている。1つの実施形態では、陰極保持器61は各々約1cmの厚さである。したがって、陰極保持器61はガス流路54の幅全体には伸長せず、流路内のガス流を阻害しない。
【0047】
DPAでは、ガス流は、矢印60(図4(b))で示すように流体導管54に従う。流体導管54は、2つの鏡像的なガス流路を有する。陰極56の突出部(分流器57−−図4(b)参照)は、放出ガスを2つの流路のうちの一方に向ける。ガス流のほぼ半分は、DPA40の左側の流路に向かって分流し、残りの半分は右側の流路に向かって分流する。
【0048】
流体導管54は、て放出ガス流内に存在する微粒子、例えば基板堆積段階又はその他の処理段階で発生した微粒子を部分的に重力に頼って補集し捕捉する、微粒子補集領域62(図4(a))を含む複雑な/蛇行した流路である。各微粒子補集領域62は、微粒子をDPAから引っ張り出そうとする放出ガス流路に関係なく、重力によって底部のU字形の部分内に微粒子を補集し保持するように配置された、ガス流路のU文形の部分である。ガス流は、図4(c)に示すように、陰極56又は陽極58のいずれかの突出フィンガ79によって、各々のU字部を通過するように向けられる。これらの微粒子補集領域62は、集合的に重力トラップ又は機械的トラップと呼ばれており、以下で詳しく説明する。
【0049】
電極56、58は、平行平板型プラズマ発生システム及び静電集塵機の両方を形成する。静電集塵機の一部として、電極56にDC電力を印加する一方、電極58は、排出される帯電した微粒子を引きつけるために接地する。DC電力の印加により電界が発生し、DPAを介して排出される正の荷電微粒子が一方の電極に引きつけられ、負の荷電微粒子がもう一方の電極に引きつけられる。接地された電極58は、RF遮蔽のためのファラデー・ケージとしても作動する。プラズマ発生システムの一部として、電極56にRF電力が印加される。RF電力の印加により、DPA内を通過する放出ガスからプラズマが形成され、重力トラップ領域62又は電極56、58の表面に沿って補集された微粒子及び残留物が食刻され、除去される。
【0050】
図5は、電極56、58を含む電気回路を示す線図である。図5に示すように、電極56はDC発電機(DC電源)100及びRF発生器102の両方に接続し、電極58は接地する。DC発電機100は、静電トラップに必要なDC電圧を供給し、RF発生器102はプラズマを発生するためのRF電力を供給する。RF整合回路104は、反射電力を最小限にするために発電機の出力インピーダンスを50Ωに整合し、DC/RFフィルタ(低域RCフィルタ)は、DC電源100をRF信号干渉から分離する。RF発生器102は、図2に示すRF電源装置25と同一電源装置とすることができ、あるいはDPA40のみを駆動する別個のRF電源装置とすることもできる。さらに、クリーン・ルームに複数の処理チャンバが存在すると仮定して、処理チャンバに接続した複数のDPAを全て、適切な数のRF電力スプリッタに接続した別個のDPA専用RF電源装置によって駆動することもできる。
【0051】
DPA40内を通過する物質及び/又はDPA40内に堆積する物質の完全な反応を確実にするため、DPAは、プラズマを形成及び/又は維持するのに充分なレベルのRF電源(例えばRF発生器102)によって駆動しなければならない。一般に、陰極の表面積及び所望のプラズマの強さによって、50〜2000W以上の電力レベルを使用することができる。陰極58の表面積が約120in2の実施形態では、750〜1000W(6.31〜8.42W/in2)の間の電力レベルを使用することが望ましい。実際に選択する電力レベルは、強いプラズマを形成するために高い電力レベルを使用する希望と、エネルギ・コストを節約するために低い電力レベルを使用する希望とのバランスを取ることによって決定すべきであり、より小型でより安価な電源装置の使用を可能にする必要がある。
【0052】
DPA40を駆動する電源装置は、約50KHz〜約200MHz以上の周波数範囲で作動し、約50KHz〜60MHzの範囲内で作動することが望ましい。一般に、周波数の低い電源装置の方が、周波数の高い電源装置より購入価格も運転経費も安価である。したがって、最も好適な実施形態では、DPA40を駆動する電源装置は、325KHz以下のRF周波数を提供するように設計する。RF電源装置は、単一周波数RF電源又は混合周波数RF電源のどちらからでも供給できる。電源装置の最適出力電力及び動作周波数は、経費の問題と共に、DPAが使用される適用用途や、DPA40で処理されるガスの量などによって異なる。
【0053】
DPA40への電気接続は、電源貫通接続部(PFD)68(図4(a))を介して行なわれる。PFD68は、PFD68の拡大側面図である図4(e)に詳しく示す。PFD68は、DC発電機100及びRF発生器102を、コネクタ70を介して陰極56に接続する。好適な実施形態では、コネクタ70は、陰極56に直接ねじ込まれるねじ切り部(threaded screw)である。
【0054】
RF接続部の腐食を軽減し、ねじ切り部70と陰極56との間の適切な電気接続を維持するために、接続は大気圧で行う必要がある。大気圧のこの部分は、領域76として示され、陰極56に接触するねじ切り部70を含む。Oリング78は陰極56と領域76との間の密封を維持する。Oリング78がDPAの作動中に発生する高熱で溶融するのを防止するために、陰極56の主要部(領域56Aとして示す部分)から陰極56のOリング78が埋め込まれた部分(領域56Bとして示す部分)への熱伝導を軽減するように特別設計された領域を設ける。この特別設計領域は、真空領域80及び陰極56の細い部分82を含む。真空領域80により陰極領域56Bは陰極領域56Aから分離されているので、発生した熱及び/又は陰極56の領域56Aに伝導される熱は、領域56Bへは容易に伝導しない。RF及びDC信号を領域56Bから領域56Aに伝える陰極56の小部分(部分80)は充分に細いので、領域56Aから領域56Bへ伝導される熱は著しく軽減される。
【0055】
電源貫通接続部はアルミニウム・ハウジング72に収容し、テフロン板73及びテフロン・リング74によってハウジング72及び扉63から絶縁する。ハウジング72は陽極58及び扉63に電気的に接続する。平座金84、止め座金85、及びナット86アセンブリにより、テフロン・リング75及びテフロン・ライニング73は陰極56の領域56Bに締め付けて固定することができる。この締付け力によりOリング78を圧縮し、適切な密封を維持する。第2のOリング、すなわちOリング77は、ガスが電源貫通接続部68から漏出しないように、テフロン・ライニング73と扉63との間の密封を維持する。
【0056】
標準動作では、CVD段階などの基板処理段階中に、DPA40の微粒子捕捉能力を高めるために、電極56にDC電力が供給される。電極56に印加される電圧は、適用用途によって異なる。一般的に、100〜3000Vの印加により、有効な捕捉メカニズムが発生する。こうしたDC電圧は、反応チャンバの動作中(処理及びクリーニング段階)常に印加することができ、あるいはDPA40が起動する反応チャンバのクリーニング動作中は停止することもできる。
【0057】
SiH4、N2及びNH3の処理ガスから窒化ケイ素を堆積する1つの基板処理作業では、発生した微粒子の約60%±10%が正に荷電し、約40%±10%が負に荷電することが、実験からつき止められた。図6に示すように、DPA40内で約500V/cmのDC電界を形成することにより、この基板処理作業用の最適静電集塵機が得られることが、実験から分かった。
【0058】
図6の線110は、電極間に200〜1200V/cmの電界を形成することにより、正に荷電した電極で補集される負の荷電微粒子の総堆積量を表わし、線112は、接地した電極で補集される正の荷電微粒子の総堆積量を表わす。線114は、捕捉された微粒子の総堆積量を表わす。500Vより低い電圧の電界では、大きい微粒子は静電集塵機では効果的に捕捉されないが、それより高い電界を形成すると、部分的プラズマが発生する。こうしたプラズマの形成は、発生する電界の性質を変化し、捕捉効率を低下させる。
【0059】
静電集塵機と機械的(重力)トラップを組み合わせると、真空管路31における堆積を防止するのに特に効果的な機構が得られる。放出ガス流内に存在する比較的大きい微粒子は、重力によって外部管62内に保持されやすいので、重力トラップはこのような微粒子を捕捉するのに特に有効である。一方、静電トラップ(集塵機)は、重力トラップだけでは捕捉されない放出ガス流内の比較的小さい微粒子を補集及び捕捉するのに特に有効である。
【0060】
例として、上述の窒化ケイ素を堆積する場合、直径1μmから直径1mm以上までの範囲の大きさの粒子が観察されている。これらの粒子が排気管路内に存在するときは、2種類の重要な力すなわち重力( Fg)及びガスの運動の結果生じる中性抵抗力(Fnd)が粒子に作用する。直径が100μmを超えるような大きい粉粒体の場合、主要な相互作用は重力であり、したがって機械的トラップが特に有効である。しかし、より小さい微粒子の場合、ガスの抵抗力の方が重力より高くなることがある。したがって、静電トラップの2つの電極の間に形成される電界が、微粒子の軌跡に垂直な補助力(Felec)を与える。この力は、直径が10μm未満のような非常に小さい微粒子の場合、重力及び抵抗力のどちらより2桁以上大きく、非常に高い補集効果を達成する。
【0061】
図7は、本発明の1つの実施形態による静電力及び重力の効果と比較した、中性抵抗力の効果を示すグラフである。線122は重力を示し、線124は静電力を示し、線126は粒子の中性抵抗力を示す。図に示すように、粒子が小さい場合、静電力124が重力122より大きい。より大きい粒子では、重力が静電力124より優勢である。この実施形態では、直径が約30μm以下の粒子は主として静電集塵機で補集し、約30μmを超える粒子は主として機械的トラップで補集する。任意の粒子に対して静電力又は重力のどちらが優勢であるかに関係なく、図7の焦点は、任意の大きさの粒子に対し、静電力124又は重力122の少なくとも一方が中性抵抗力126より大きくなるように、DPA40を設計することが望ましいということである。このような場合、静電トラップ集塵機と機械的トラップ集塵機を組み合わせることにより、様々な大きさの粒子が効果的に補集されることが確保される。
【0062】
第4の力、すなわち伝熱力(Fth)もまた、DPA40内の微粒子に作用する。伝熱力とは、DPA内に形成される温度勾配による力である。このような温度勾配は、例えば、プラズマ支援クリーニング工程中にプラズマの発生によって形成される。プラズマ形成中に、イオン衝突やプラズマ形成中のジュール効果のために、陰極56は陽極58より高温になる。1つの実施形態では、陰極56と陽極58の間の温度勾配は、150℃のガス温度で200℃/cmである。この実施形態における伝熱力を図7に、線128として示す。伝熱力128は、この実施形態では0.1μm〜100μmの間の微粒子を捕捉するのに充分な強さではないが、荷電粒子及び非荷電粒子の両方を捕捉することができる。また、他の実施形態でも、当業者は、大きい温度勾配を形成するほど、より大きい伝熱力が得られ、微粒子及び残留物の捕捉に一層効果的に役立つことを理解されるであろう。先に述べたように、処理チャンバのクリーニング作業中、RFエネルギを電極56に印加して、DPAに排気される放出エッチング・ガスからプラズマを形成及び/又は維持する。プラズマから生じる成分は、その前の1つ以上の基板処理段階からDPA内に捕捉された微粒子及び残留物と反応する。このプラズマを形成するためにRFエネルギを印加するのは、エッチング・ガスがDPA内に排気されない間は、中断することが望ましい(このような構成におけるDPA40は、受動素子ではなく、能動素子と呼ばれる)。DPA40を能動素子として構成する場合、DPA40のタイミングの側面の制御(例えばRF電源102及び/又はDC電源100のオン/オフの切替えなど)は、一般的に、図1に示す制御ライン36を介して送られる制御信号の入力により、プロセッサ34によって行われる。図12には示さないが、そうした構成では、そのような制御ラインがDPA40に接続される。
【0063】
代替実施形態では、クリーニング作業中に、真空チャンバ15から排気されるエッチング・ガスとは別にエッチング・ガスを導入するために、DPA40に直接ガス供給管路を設けることができる。そのような余分なガス供給管路は、例えば入口部分又はその付近でフォアラインに接続できる。また、DPAの上流位置でDPAに直接接続することもできる。そうした別個のガス管路を設ける場合、クリーニング中のみ、あるいは堆積又はその他の基板処理段階のときのみに、エッチング・ガスの追加供給をDPAに行うことができ、あるいは堆積及びクリーニングの両サイクル中に連続的に供給することもできる。基板処理段階にDPAにエッチング・ガスを供給する実施形態では、基板処理段階で電極56にRFエネルギを印加してプラズマを発生させ、DPA内に堆積した物質をさらに食刻して除去する。
【0064】
微粒子を捕捉し堆積を削減するDPA40の有効性は、生成され真空チャンバから排出される微粒子の量や、DPA40内の放出ガス流の流速、電極56と58の間に形成される電界、電極56、58の表面積、及びクリーニング段階で生成されるプラズマの強さなどをはじめとする多数の因子に依存する。
【0065】
また、多数のその他の設計上の考慮点により、DPA40の有効性は向上する。例えば、好適な実施形態では、分流器57(図4(a))の上部表面を単一先端に対し先鋭に傾斜させる。ガス流がDPA内のバリア又はその他の表面と直接接触する部分に、堆積がより急激に集まることが、実験から示されている。分流器57の傾斜表面と、分流器57の単一先端の真上にあってそれに対し垂直な入口50からの放出ガスの導入とを結合することにより、放出ガス流が入口50からDPA40に流入するための小さい接触面積が得られ、したがって分流器57の上部表面における堆積は最小化される。このような傾斜表面を持たずに実施した実験では(例えば丸みのある表面)、分流器57の上部表面57で微粒子の堆積が補集された。そうした堆積の量によっては、堆積をくずし、補集領域62の1つに落下させることができる。粒子の堆積が充分に大きい場合、通常のクリーニング・サイクル中に形成されるプラズマによって、それを消散することはできないかもしれない。これは、ガス流路の閉塞につながるおそれがある。また、堆積物が誘電体材料(例えば窒化ケイ素の膜成長工程からの堆積)である場合、その堆積はプラズマの発生を阻害し、形成されるプラズマの強さを低下する。これは次に、堆積した物質の食刻の低下及び流路閉塞の機会の増加につながる。分流器57の側部表面は、そうした蓄積を防止するために30度以下の角度で合わせることが望ましい。形成される角度が約10度未満であることがさらに望ましい。
【0066】
DPA40のいずれかの特定の領域における微粒子の堆積を軽減する別の設計の特徴は、入口50とガス流が左右の流路に分割される位置との間のガス流路54の部分の壁の形状である。先鋭な角度を持つ形状とは反対に、入口50からガス流路までの平滑な輪郭遷移(又は朝顔形の張出し)は、流路へのガス流の均等な分布を確保するのに役立つ。入口から流体導管54までのこの輪郭遷移は、プロファイルド・マニホルド(profiled manifold )と呼ばれる。
【0067】
プロファイルド・マニホルド内の均等なガス流は、ガス流路54の左右部分の両方にガス流を均等に分配するに役立ち、それによって流路のどの部分でも他の部分と比較してより多く微粒子が堆積するのを防止する。プロファイルド・マニホルドはまた、電極の幅全体にわたって均等なガス分布を確保する。プロファイルド・マニホルドの好適な態様の輪郭を、ガス流路表面55として図4(c)及び図4(d)に詳細に示す。
【0068】
均等なプラズマの形成は、DPA40内で補集された微粒子及び残留物の完全な除去を確保するのに役立つ。この目的を達成するために、電極56の表面積は、電極58の表面積とほど同じであることが有用である。電極同士の表面積が様々な位置で3:1から1.3:1までの範囲で異なるDPAで実施した実験では、均等でない表面積の電極でもプラズマを形成することが可能であり、そうしたプラズマはDPA内で補集された一部の物質を適切に除去できることを示している。しかし、これらの実験では、電極表面の比率が3:1の部分より1.3:1に近い部分ほど、微粒子及び残留物の堆積がより効果的に除去された。さらに、陰極56の表面積が陽極58の表面積の95%以内である場合(118.79in2対123.31in2)の実験では、プラズマの形成がより強く、微粒子の除去がより効果的であった。別の実施形態では、陰極の表面積が陽極の表面積と実質的に同一である。
【0069】
別のプラズマの均等性の問題は、電極58に対する電極56の間隔に関係がある。この間隔は、次の例外を除き、DPA40のガス流路全体で基本的に一定に維持すべきである。プラズマの降伏電圧は、圧力と電極間の距離の関数である(P×D)。放出ガス流がDPA40内を流れるには、入口50付近の圧力を出口52付近の圧力より少し高くする必要がある。この好適な実施形態で降伏電圧を一定に維持するには、DPA40の底部の電極間に、上部の電極間より多くの空間を導入することである。この間隔のバリエーションは、例えば電極56及び/又は電極58のいずれか一方又は両方の突起フィンガを、図4(b)に示すようにDPAの上部より厚くすることによって、達成することができる。図4(b)で、DPA40の上部の陰極56及び陽極58のフィンガは、それぞれa及びbの厚さを持つ。DPA40の下部の対応する部分はそれぞれc及びdの厚さを持つ。ここでa>c、及びb>dである。
【0070】
DPA内の圧力も又はプラズマの形成に影響を及ぼす。一般に、圧力が高いほどプラズマ・エッチングの効率が高くなる。したがって、より高い圧力でDPAを作動すると、低い圧力の場合より所用電力が低くてすみ、その結果運転経費の節約になる。このような構成では、DPAの下流に単一スロットルバルブを使用するか、好ましくは二重スロットルバルブを、すなわち真空チャンバの圧力を制御するためにDPAの上流に1つ、及び処理チャンバ内の圧力とは独立してDPAの圧力を制御するためにDPAの下流に1つを使用することができる。
【0071】
DPAの下流にスロットルバルブを使用しない場合、DPA内の圧力は一般にフォアラインの圧力と等しい(約4.5〜6torrで作動する一部のPECVD処理装置では約0.8〜2.5torrの間)。しかし、DPAの下流にスロットルバルブを使用すると、DAP内の圧力をより幅広い範囲にわたって制御できる。いうまでもなく、DPA内の圧力は、処理チャンバからの放出ガス流を維持するために、処理チャンバ内の圧力より低くしなければならない。DPA内の圧力を増加すると、DPAに排出される微粒子の中性抵抗力が増加するという、望ましくない副作用も発生し、これが今度は重力トラップの効率を低下する原因となる。したがって、DPAに設定する実際の圧力は、プラズマの効率の問題と粒子の捕捉の問題の間でバランスを取る必要があり、この圧力はDPAを使用する特定の適用用途によって異なる。
【0072】
DPA40内の圧力を監視するために、圧力感知スイッチ53(図4(d))を含めることができる。DPA内の圧力が望ましくないレベルにまで高まると、スイッチ53はプロセッサ34に信号を送り、DPA及び基板処理チャンバ10の両方のスイッチを切断する。好適な実施形態では、スイッチ53は半大気圧スイッチ(half-atmosphere switch)であり、DPA40内の圧力が大気圧の2分の1(360torr)を超えて増加すると、遮断手順が始動する。
【0073】
DPA内でプラズマを形成するために使用するRF電力、陰極の大きさ、DPAが起動する時間、及びその他の要因によって、DPA40はかなりの量の熱を発生することがある。熱を消散するために、DPA40は、図4(e)に示すように熱消散フィン69を含むことができる。熱消散フィン69は、陽極58に取り付ける。
【0074】
熱は、プラズマ形成中にイオン衝突及びジュール効果によって、陰極56に発生する。したがって、陽極58は陰極56より低温である。また、陽極58は、セラミック陰極保持器61、セラミック・ライニング板71(後部及び扉)及びPFD68のテフロン絶縁リングによって、陰極56から熱的に絶縁されている。フィン69は、陽極をさらに冷却するのに役立つ。フィン69はアルミニウムなどの熱伝導材料で構成され、これは受動冷却装置なので、DPA40を冷却するための好適な方法である。安全上の理由から、DPA40の外部が少なくとも75℃以下まで冷却されるように、フィン69を設計することが望ましい。
【0075】
アプライド・マテリアル・インコーポレイテツドによって製造されたP5000型反応システムのDCVDチャンバにDPAを装備した好適な実施形態では、DPAの三方の側面にフィンを配置するが、第4の側面には配置しない。その代わり、DPAの第4側面(後部)を基板処理チャンバの一部分に直接当てるように配置する。フィン69によって達成される冷却の程度は、フィンの大きさによって異なる。陰極の温度が250〜300℃の間で作動する1つの実施形態では、フィン69は、DPAの外部を約75℃まで冷却するのに充分な大きさである。
【0076】
また、他の方法でDPA40を冷却することもできる。例えば、DPA40の周囲に水を循環する冷却システムを使用して、DPAから熱を運び去ることができる。このような冷却システムは能動冷却機構である。
【0077】
2.DPA40の好適な微粒子削減実施形態を使用した試験結果
微粒子の堆積の削減における本発明の効果を証明するために、上記の好適な実施形態に従って設計したDPA40を、6インチ・ウェハ用に装備され、窒化ケイ素のCVD膜堆積用に設計されたPrecision 5000反応チャンバに取り付け、実験を行なった。Precision 5000反応チャンバは、本発明の譲受人であるアプライド・マテリアル・インコーポレイテッドによって製造された製品である。
【0078】
DPAの効果を試験する実験を行なう前に、窒化ケイ素の頴娃町段階及びその後に続くフッ素クリーニング段階によって処理チャンバ内に堆積する残留物の組成を決定するための実験を行なった。2種類の異なる窒化ケイ素膜成長/フッ素クリーニング作業工程シーケンスについて、残留物の組成を決定した。各工程シーケンスの窒化ケイ素膜成長段階は同じであるが、クリーニング段階は、第1シーケンスではCF4の化学作用に基づいて行なわれ、第2シーケンスではNF3の化学作用に基づいて行なわれた。
【0079】
窒化ケイ素の薄膜は、ウェハにシラン(SiH4)、窒素(N2)、及びアンモニア(NH3)ガスのプラズマをウェハに暴露することによって、ウェハに堆積した。SiH4は、275sccmの流速で処理チャンバ内に導入し、N2は3700sccmの速度で処理チャンバに導入し、NH3は100sccmの速度で導入した。プラズマは4.5torrの圧力、400℃の温度で、720Wで駆動する13.56MHzのRF電源を用いて形成された。窒化ケイ素の堆積は約75秒持続したが、これはウェハに約10000オングストロームの薄膜を成長させるのに充分であった。
【0080】
第1標本については、窒化ケイ素膜の成長段階が完了し、ウェハを処理チャンバから取り出した後、処理チャンバをCF4及びN2Oのプラズマにより、120秒間クリーニングした。CF4対N2Oの比率は3:1であり、CF4は1500sccmの速度で導入し、N2Oは500sccmの速度で導入した。クリーニング段階中、処理チャンバは温度400℃及び圧力5torrに維持した。1000Wで作動する13.56MHzの電源装置により、プラズマを形成した。
【0081】
第2標本については、NF3及びN2O及びN2の前駆物質のガスから形成されるプラズマで、クリーニングを行なった。NF3対N2O対N2の比率は約5:2:10であり、NF3は500sccmの速度で導入し、N2Oは200sccmの速度で導入し、N2は1000sccmの速度で導入した。クリーニング段階中、処理チャンバは温度400℃及び圧力5torrに維持し、これを約95秒間持続した。プラズマの形成は、1000Wで作動する13.56MHzの電源装置により達成した。
【0082】
CF4クリーニングの残留物の色は茶色がかっており、NF3クリーニングの残留標本の色は黄色/白色であることが分かった。Si3N4の膜成長段階だけから生成される残留物は茶色であることが分かったので、これらの結果から、NF3クリーニングにより、初期の茶色の粉末が黄色/白色の粉末により完全に変換されることを示すと考えられる。これは、NF3プラズマに発生する余分のフッ素自由基のためであると考えられる。
【0083】
別の一連の実験で、3種類の残留物の標本を採取した。すなわち、上記のSi3N4の膜成長段階の直後に処理チャンバから約0.5m下流のフォアラインで採取した粉末(標本A)、上記のNF3/N2O/N2のクリーニング用プラズマの作動後に標本Aと同じ場所で採取した粉末(標本B)、及び数日間の連続した堆積/クリーニング・シーケンスの後に処理チャンバから約12m下流の乾燥真空ポンプの入口で採取した粉末(粉末C)の3種類である。粉末標本の組成は、水素前方散乱(HFS)、X線電子分光法(XPS)、及びX線回折(XRD)解析から引き出される。これらの粉末のそれぞれの組成を表1に示す。
【0084】
【表1】
標本Aは、Si3N4の膜堆積化学作用の直接固体副生物である。この粉末は、RFプラズマ内で発生した微粒子の組成を反映している。この粉末は大部分がSi、N、H、及び酸素で構成される。酸素はおそらく、標本採取中に空気から吸収されたものであろう。膜堆積段階で酸素を包含するガスを使用していないので、酸素は粉末の初期成分ではありえない。プラズマ内で発生する残留微粒子は、大部分が水素化窒化ケイ素SiXNyHzである可能性が高い。この粉末は反応性が高い。XPS測定によりHFSの結果が確認され、空気にさらした後、ケイ素は元素として18%存在し、窒化物として24%、酸化物として58%存在することが示された。窒素は、窒化物として93%、アンモニアとして7%存在する。XRD解析から粉末が非晶質であることが示された。
【0085】
標本Bは、NF3/N2O/N2プラズマを使用したクリーニング工程後の粉末Aの変換の結果である。膜成長チャンバ内に蓄積された残留物は、クリーニング工程により完全に蒸発するが、F*自由基の寿命が限定されるので、フォアライン内での変換は完全ではない。しかし、この寿命は、フォアラインの最初のメートル内で部分変換が行われるには充分に長い。この白色粉末はFの含有量が高いことを示し、SixNyHzから(NH4)2SiF6(ヘキサフルオロけい酸アンモニウム、これはX線回折法を用いて識別された)への変換を表わしている。多結晶質白色粉末は、250℃の昇華温度を示している。
【0086】
標本Bの粉末の蓄積量は、処理チャンバからの距離の増加と共に増加し、ガスがフォアラインに沿って移動するにつれて、固体−気体蒸発の効率がだんだん低下することを示唆している。これはおそらく、F*、CFx、O*などの励起された種が処理チャンバから遠くに移動する間に希薄になるためである。ポンプに近い位置では、粉末AとBの混合物が存在する。この残留物(粉末C)の色は、処理チャンバからの距離が長くなるにつれて、黄色がかった色から茶色になる。
【0087】
化学分析から、粉末Cは、粉末Aの不完全な変換結果であることが示された。興味深いことは、−(−CF2−C2F4−O−)x−という重合体の形成であり、これは初期の茶色の残留物を被覆することができ、保護被膜を形成し、膜成長中に補集された粉末がそれ以上変換されるのを防止する。市販のPECVD窒化ケイ素システムのフォアライン内の粉末Cの蓄積は、1か月の連続堆積/クリーニング・シーケンスの後、500gを超えることがありうる。
【0088】
処理チャンバ内の残留物の堆積の組成を決定した後、残留粉末の粒径を決定する実験を行なった。この実験では、フォアライン内にシリコン片を置き、膜成長工程でそこに堆積される材料を採取した。15秒の膜堆積工程後でさえも、真空管路3内で茶色の粉末状の残留物の堆積が通常発生する。この残留物の堆積を示す顕微鏡写真を図8に示す。茶色の粉末は、SixNyHz、SixHy、SiOx、及びケイ素元素残留物から構成される。残留物の元素粒子は粒状又は海綿状の形態を呈し、密度は2.3g/cm3 である。粒子の回転楕円体の左右対称性が図9に示され、膜成長が均質な核生成によって行なわれることを表わす。図9は、4個又は5個の元素粒子(各々直径15〜20μm)が凝集して、直径約50μmの典型的な粒状残留集合体を形成することを示す顕微鏡写真である。さらなる実験から、粉末の粒径が堆積時間と共に増大し、90秒の堆積段階により直径1.0mm以上の集合体が形成されることが示された。
【0089】
次にプロトタイプ(試作品)のDPAを使用して、シランを基本にした窒化ケイ素の堆積作業から発生するような微粒子の堆積を削減する上での本発明の有効性を証明した。プロトタイプのDPAは図4の(a)〜(f)に示す実施形態に従って構成し、フォアラインの直前でP5000型CVD反応チャンバの出口に接続した。実験では、3段階の順次1.0ミクロンの膜成長工程の後にCF4/N2Oクリーニング段階が続く、窒化ケイ素の一般的な堆積/クリーニング・シーケンスに従って、反応チャンバを作動した。この堆積/クリーニング・サイクルを、5000枚のウェハ実行試験に連続的に繰り返した。
【0090】
窒化ケイ素の膜堆積段階では、反応チャンバの圧力は4.5torrに設定及び維持される一方、反応チャンバの温度は400℃に設定され、サセプタはガス分配マニホルドから600milの位置に配置された。堆積ガスは、190sccmの速度で導入されたSiH4、1500sccmの速度で導入されたN2、及び60sccmの速度で導入されたNH3を含んでいた。周波数13.56MHzの単一周波数RF電力を445Wの電力レベルで供給してプラズマを形成し、約7500オングストローム/minの速度で窒化ケイ素層を堆積した。1.0ミクロンの各層の総堆積時間は約80秒であった。
【0091】
反応チャンバのクリーニング段階では、反応チャンバの圧力は4.6torrに設定及び維持される一方、反応チャンバの温度は400℃に設定され、サセプタ(ウェハは搭載されない)はガス分配マニホルドから600milの位置に配置された。クリーニング用ガスは、1500sccmの速度で導入されたCF4及び500sccmの速度で導入されたN2Oを含んでいた。エッチング・プラズマを形成し、反応チャンバ内に堆積した材料を食刻して除去するため、RF電力を供給した。この周波数電源装置は13.56MHzで動作し、1000Wで駆動する。3段階の1.0ミクロンの窒化ケイ素層の堆積工程後に反応チャンバのクリーニングのために使用した総クリーニング時間は、最初の3000枚のウェハについては、110秒であった。その後、終点検出器(endpoint detector )を使用して、最後の2000枚のウェハに対するクリーニング時間を最適化した。
【0092】
プロトタイプのDPAは、長さ約35cm×直径14cmであった。電極56、58は総表面積が242.1in2であり、アルミニウムから機械加工によって形成された。陰極は幅3.00in、周長39.5966inであった。
【0093】
電極56と電極58の間に500VのDC電圧を形成し、上述の通り、窒化ケイ素の膜成長段階及びCF4 のクリーニング段階の両方で、荷電した微粒子を捕捉した。電圧電界は、電極56と接地電極58に500Vを供給することによって形成した。プラズマの形成に関しては、DPA装置は能動装置として作動する(つまり、クリーニング・サイクル中にのみRF電力がDPAに印加され、プラズマが形成される−−RF電力は堆積段階には印加されない)。プラズマは、1000Wで駆動する325KHzのRF波形によって発生した。DPA内の圧力は0.8torrであった。
【0094】
その後の試験で、プロトタイプのDPAは、プロセスに対し透過的でありながら、上記の窒化ケイ素の膜成長/CF4のクリーニング・シーケンスを用いて20000枚のウェハ処理試験の実行中に、フォアライン内での微粒子の堆積を防止するのに100%有効であることが示された。プロトタイプのDPAを使用するにあたり、実験中に反応チャンバから排出される全ての粉粒体を捕捉し除去するために、追加のクリーニング・ガスや追加のクリーニング時間を用いる必要は無かった。実験中に堆積した窒化ケイ素の薄膜の厚さ、均一性、応力、及び屈折率などの薄膜特性について行なった測定により、1枚目のウェハと5000枚目のウェハとの間で(又は中間のどのウェハ間でも)、これらの特性のいずれにも際だった、あるいは著しい変化の無いことが示された。さらに、実験中の反応チャンバ内の微粒子の数の測定でも、ウェハ処理の実行中に直径が0.16ミクロン以上の粒子の数に増加が無いことが示された。
【0095】
3.螺旋コイル、単管の実施形態
他のプラズマ形成構造を組み込んだ、他の実施形態のDPA40も可能である。例えば、一部の実施形態では、螺旋共振器コイルなどの誘導コイルにRF信号を印加することによって、プラズマを形成する。螺旋コイルは小型であり、比較的高密度のプラズマを形成する容量を有する。こうしたコイルは当業者には周知であり、Michael A.Lieberman and Allan J. Lichtenberg, "Principles of Plasma Discharges and Materials Processing", pp. 404-410 John Wiley & Sons (1994)などのよく知られた多数の教科書のどれにでも記載されている基準に従って、設計することができる。これを引用によって本明細書に組み込む。
【0096】
螺旋共振器コイルは、銅、ニッケル、又は金などの高導電性金属、又は同様の導電性材料から作成することができる。コイルを適切に共振させるには、コイルの長さが、印加RF信号の波長のほぼ4分の1又はそれよりわずかに長いことが重要である。
【0097】
図11は、こうしたコイルを含むDPA40の1つの実施形態の断面図である。図11のDPA40は、処理チャンバ15から排出されたガスがDPA内を通過するときに流れる管150を含む。管150は、セラミック、ガラス、又は石英などの絶縁材から形成される円筒形の管である。好適な実施形態では、管150は、クリーニング段階で使用するフッ素などのエッチング・ガスとは反応しないセラミック材で形成する。また、管150の外径は真空管路31の内径とほぼ等しい。別の実施形態では、管150は必ずしも円筒形である必要は無く、角があったり、平面的であったり、楕円形、又は同様に湾曲した内部表面を持つことができる。これら及びその他の実施形態における管150の内径は、真空管路31の内径より大きく、又は小さくすることもできる。
【0098】
コイル152は管150の外面の周囲に巻かれ、一端は点156の位置でRF電源装置に接続し、他端は点155の位置で接地電位に接続する。管150内を通過する排気ガスは、RF電源装置からコイル152への電圧の印加によって、プラズマ状態に励起する。プラズマ状態のとき、プラズマから生じる成分は、管内に堆積した材料と反応して、ガス状生成物を形成する。このガス状生成物は、上述の通りポンプ・システム32によって、DPA40及び真空管路31から排出することができる。コイル152は、上述の通り標準的な螺旋共振器コイルであり、管の外側ではなく、管150の内側に巻き付けることもできる。
【0099】
管150の周囲は外部容器154で取り囲まれている。容器154は、少なくとも2つの目的を果たす。第1に、これはコイル152によって生じる電磁放射を遮蔽するファラデー・ケージとして機能する。第2に、セラミック管150が破損したりひび割れた場合、あるいは別の方法で管150の真空密閉が破れた場合、容器154は第2密閉の役割を果たし、排気ガスが漏出するのを防止する。容器154は、アルミニウムや鋼、又はその他の化合物といった様々な金属から形成することができ、遮蔽効果のために接地する。上部フランジ157及び下部フランジ158は、空密閉を維持しながら、DPA40をそれぞれ真空マニホルド24及び真空管路31に接続する。
【0100】
標準RF電源装置は、インピーダンスが50Ωとなるように設計される。したがって、コイル152のインピーダンスが50Ωとなるように、RF電源装置のコイル152への接点(点156)を選択しなければならない。電源装置に別のインピーダンス・レベルが必要な場合には、点156をそれに従って選択することができる。
【0101】
コイル152はRF電源装置により50W以上の電力レベルで駆動するが、500W以上のレベルで駆動することが望ましい。こうした条件下で、プラズマの発生は最大限になり、均一性の問題は無くなる。コイル152によって発生する実際の電圧は、RF電源装置によって使用される電力、コイル152の長さ及び巻線間隔、コイルの抵抗など、多数の要素によって異なる。電圧はコイルに沿って均等に展開するので、コイル全体の電圧レベルは、コイルを大地に接続する位置(点155)とRF電源装置に接続する位置(点156)との間のレベルを求めることによって決定することができる。例えば、特定のコイルが点155と156の間のコイル部分の4倍の長さである場合、コイルの総電圧は、点155と156の間の電圧レベルの4倍となる。
【0102】
コイル、電力レベル、及び印加RF周波数は、管150内で強いプラズマが形成されるように、選択する必要がある。ただし、そのときに、コイル152によって生じる電圧が、コイルから容器154へ電流が放電するレベルを超えないことを確保することも必要である。特定のDPAで放電が問題になる場合は、容器154とコイル152との間に絶縁材を挟むことが可能である。しかし、設計を簡素化するために、容器154とコイル152の間の空間には空気を満たしておくことが望ましい。
【0103】
DPA40の長さと大きさは変えることができる。適用用途によっては、DPA40はわずか4〜6cmの長さ又はそれより短くすることもできる一方、他の適用用途では、DPA40を真空管路31の全長(4〜5フィート又はそれ以上)に及ぶ長さとし、したがって管路と置き換えることもできる。同様の設計であれば、短いDPAより長いDPAの方が、より多くの粉粒体を補集し、したがって除去することができる。DPAの設計は、空間の問題と残留物補集効率とのバランスを取らなければならない。しかし、最新技術の捕捉機構を取り入れたDPAは短くても、処理チャンバから排出される全粉粒体の99.9%を補集及び捕捉することができ、長さは重要な要素にならない。コイルの長さは、RF波長の1/4より少し長くする必要があるので、コイルの長さと使用するRF周波数との間には直接の関係がある。コイルが長ければ、低い周波数のRF電力信号が必要になる。
【0104】
先に述べたように、DPA40は処理手順の特定の期間中に作動を開始(ON)したり停止(OFF)することが望ましいが、DPAは受動装置として構成することもできる。受動装置としてのDPA40には、充分なRF電力信号が連続的に供給されるので、特別な制御信号やプロセッサ時間を、DPAの作動の開始や停止のために使用する必要は無い。
【0105】
4.螺旋コイル、機械的トラップ及び静電トラップの第1実施形態
図12は、別の実施形態のDPA40の断面図である。図12に示すDPA40の実施形態は、第1内部セラミック管160及び第2外部セラミック管162を含む。管160の端部は管162の円筒形の空間内にあるので、DPA40内のガスの流れは、矢印164で示すようになる。
【0106】
螺旋共振器コイル166は管162の外側の周囲に巻き付けられ、図11の実施形態に関連して述べたように、RF電源装置168に接続される。コイル166は、管162の内側か、あるいは管160の外側の周囲又は内側に巻き付けることもできる。
【0107】
上記の容器150と同様のシェル168で、内管160及び外管162を閉囲する。外管162は、内管160又はシェル168のいずれかへの接続によって支持することができる。いずれの場合も、外管162の支持構造により、放出ガス流がDPA40内を通過できるようにすることが重要である。この目的のために、支持構造は、複数の貫通穴を有する管160と162の間の平面状のセラミック材とするか、管160と162の間に伸長する4つの細長い接続部又はフィンガのうちの3つだけにより構成するか、あるいは多くのその他の同様の方法で設計することができる。貫通穴を有する構造は、以下で説明する補集領域170内で粉粒体を補集及び捕捉するのに役立てることができる。しかし、この構造は、DPA40を介して排出されるガスの流速を低下させないために、穴が充分な大きさとなるように設計する必要がある。
【0108】
この実施形態のDPA40の設計により、粉粒体の捕捉が強化され、したがってその分解が強化される。この設計は、排気ガス流内の微粒子を補集及び保持する機械的トラップとして作用し、図4(a)のトラップ62と同様の方法で、捕捉された微粒子がDPAのその他の部分を通過して真空管路31内に流入できないようにする、管162の補集領域170を含む。微粒子は形成されたプラズマにより分解されるまで、トラップ内に維持され、プラズマにさらされる。
【0109】
本実施形態のDPA40のトラップ部の動作は部分的に重力に依存し、微粒子をDPA装置から真空管路内に掃引しようとする放出ガスの流路にも拘らず、粉粒体をトラップ内に保持するように作用する。したがって、部分的に、DPA40の有効性は、微粒子が反応してガス状生成物になるまで微粒子が管162から流出するのを防止する外管162の能力に依存する。この目的のために、補集領域170がDPAの入口から下向きになり、かつ、外管162が重力の作用と共にこのトラップを形成するのに充分な長さとなるように、DPA40を配置することが重要である。
【0110】
DPA40内の面176におけるガス流路の断面積を増加すると、粉粒体の捕捉にさらに役立つ。任意の堆積工程における放出ガス流の流速は、一般に一定である。したがって、1つ又はそれ以上の流路の断面積を増加すると、ガス流の微粒子の速度が低下し、これに相応して微粒子に対する中性抵抗力が低下する。微粒子に対する重力が中性抵抗力を超えると、その微粒子は重力によってDPA40の重力トラップ内に捕捉される。
【0111】
機械的トラップの効果をさらに高めるために、補集領域170付近に静電集塵機172を配置することができる。静電集塵機172は、DC又はAC電源に接続した小型電極とすることができる。静電集塵機172に印加される極性及び電荷の量は、適用用途に特定的であり、個々の適用用途で排出される粉粒体の極性のタイプ及び一般的な荷電レベルによって異なる。
【0112】
本発明では、様々な静電トラップ装置を使用することができる。こうした静電集塵機の別の実施形態については、図13(a)、図13(b)及び図13(c)に関連して以下で詳しく説明する。
【0113】
5.螺旋コイル、機械的トラップ及び静電トラップの第2実施形態
図13(a)は、DPA40の別の実施形態の断面図である。図13(a)の実施形態は、図12の実施形態と同様の機械的トラップ設計を使用し、また変形した静電トラップをも使用している。さらに、放出ガスは、上部フランジの反対側ではなく、上部フランジ181に隣接して配置した側部フランジ180を介して排出される。フランジ180は、外管186ではなく、外部ケーシング184との真空密閉を形成するように配置する。ケーシング184は金属又は同様の材料で形成し、管186はセラミックなどの絶縁材で形成する。
【0114】
この実施形態のDPAへのRF電力は、RF電源との接続点188と点189(接地)との間のインピーダンスが50Ωとなるように設計された、外部コイルを介して供給される。上述の通り、コイルが標準RF電源によって駆動できるように、コイル187は50Ωのインピーダンスを持つように設計する必要がある。内部コイル190は、内管185の内側に巻き付ける。内部コイル190は、外部コイル187に供給されるRF信号を誘導によって受け取り、プラズマ反応を駆動するために必要な電圧電界を形成する。
【0115】
中央ワイヤ192は内管185の中心部を走り、中央和や192と内部コイル190との間に電位差が発生し、DPAを通過する粉粒体が静電気により捕捉される。電位差は、多くの様々な方法によって形成することができる。全ての方法で、中央ワイヤ192及びコイル190は電極として作用する。一実施形態では、中央ワイヤ192を接地し、正のDC又はAC電圧をコイル190に印加する。図13(b)に示すように、排出された微粒子194が負に帯電している場合、その微粒子はワイヤ192及びコイル190によって形成された電圧電界(Felec)によって引き寄せられる。コイル190を接地し、中央ワイヤ192に負の電圧を印加した場合にも、同様の結果を達成することができる。ただし、この場合、ワイヤ192は負に荷電した微粒子をコイル190の方に追いやる。
【0116】
別の実施形態では、正のDC又はAC電圧を中央ワイヤ192に印加し、コイル190を接地電位に接続する。この方法では、負の荷電粒子が、図13(c)に示すように、正に荷電したワイヤ192の位置196に補集される。コイル190に負の電圧を印加し、中央ワイヤを接地した場合にも、同様の結果を達成することができる。この場合は、コイル190が負の荷電粒子をワイヤ192の方に追いやる。
【0117】
さらに別の実施形態では、ワイヤ192及びコイル190のどちらも接地せず、代わりに両方とも、コイル190に対しワイヤ192との間に正又は負の電位差を生じる電圧源に接続する。いうまでもなく、正に荷電して粉粒体が存在する場合、この粉粒体は、負に荷電した粉粒体が補集される電極とは反対の電極に補集される。
【0118】
また、粉粒体が正の荷電粒子と負の荷電粒子の両方を含む場合、微粒子は静電力によって補集することができる。このような場合、正の荷電粒子は低電位の電極に引きつけられ、負の荷電粒子は高電位の電極に引きつけられる。また、このような場合、中央ワイヤ192にAC電圧を印加することもできる。AC電圧を中央ワイヤ192に印加し、コイル190を接地すると、正の半サイクル中、正の粉粒体はワイヤから反発してコイル90の方に追いやられる。しかし、負の半サイクル中には、負の粉粒体がワイヤから反発し、コイル190に補集される。このような場合、AC電圧周期は、微粒子の応答時間より長くしなければならない。
【0119】
上記のうちいずれかの場合、2つの電極間の電界は50〜5000V/cmの間とすることができる。電極間の電界は、500V/cm(DC)〜1000V/cm(AC)の間とすることが、望ましい。微粒子が中央ワイヤ192から反発してコイル190に補集されるかどうかは、微粒子の極性及びコイル190及びワイヤ192に印加される電荷によって異なる。
【0120】
この設計は、コイル190と中央ワイヤ192との間に形成される電位差に依存するので、コイル190は、最大限の微粒子の補集を達成するために、管の絶縁材によってワイヤ192と分離されないように、内管185の内部に配置する必要がある。管185の内側に配置されたコイル190及び中央ワイヤ192は、フッ素などのように反応性の高い様々な活性種と接触する。したがって、コイル190及びワイヤ192を、ニッケルなど、こうした活性種と反応しない適切な導電性材料で形成することが重要である。この実施形態では、コイル190が微粒子を引き付けるか反発させる電位差及びRF電力信号の両方を受けることに留意することが重要である。
【0121】
6.平行電極を含む機械的トラップ及び静電トラップの第3実施形態
図14(a)は、機械的トラップ及び静電トラップを含む別のDPA40の断面図である。図14の実施形態は、1対の容量結合電極に印加されるRF電力からプラズマを形成するという点で、図4(a)〜(c)に示す実施形態と同様である。ただし、図14(a)に示す電極は、図4(a)〜(f)の実施形態における実質的に同一表面積の平行平板電極ではなく、外周円筒形の電極402、404である。図14(b)に示すように、円筒形の性質のため、電極402の電極404に対する表面積の比率は、DPAの部分によって異なる。例えば、1つの実施形態の場合、電極404に対する電極402の表面積に比率は、内部流路405aでは約3:1である。この同じ実施形態でも、外部流路405bでは、電極404に対する電極402の表面積の比率は約1.3:1である。
【0122】
電極402、404は、処理チャンバ15から排出されたガスが通過するガス流路405を画成する。電極402は接地し、電極404にはRF及びDC電力を印加する。電極404には、FPD406を介してRF及びDC電力を供給する。PFD406は、テフロン絶縁体408によって接地電極403から絶縁する。
【0123】
流路405はU字形重力トラップ領域410を含むが、これは、電極の同心円的性質のために、丸いドーナツの下半分のような形状である。放出ガスは入口401からガス流路405に流入し、出口403から流出する。
【0124】
適切な場合、DPA40と処理チャンバ15の間にDCフィルタ412を配置し、放出ガス流内の荷電粒子を捕捉するのに役立てるためにDPAに印加する電圧が、処理チャンバ内で行われる基板処理作業を阻害しないようにする。
【0125】
電極402、404を含む電気回路を示す線図を図15に示す。図15に示すように、電極404はDC発電機420及びRF発生器422の両方に接続し、電極402は接地する。DC発電機420は、静電トラップが必要とするDC電圧を供給し、RF発生器4222は、プラズマを形成するためのRF電力を供給する。RF整合回路424は、反射電力を最小にするためにRF発生器の出力インピーダンスを50Ωに整合し、またDC/RFフィルタ(好適な実施形態では1mΩ抵抗器)426は、DC電源420をRF電源から分離する。
【0126】
7.平行電極を含む機械的トラップ及び静電トラップの第4実施形態
図16(a)は、機械的トラップ及び静電トラップを含むDPA40の別の実施形態の断面図である。図16(a)の実施形態はまた、DPAに排出される放出ガスから容量結合プラズマを形成する、均等間隔で配置された平行電極430、432をも含む。電極430は、図14(a)の実施形態と同様の方法でRF及びDC電源に接続し、電極432は接地する。
【0127】
各電極はシートメタルから形成し、それを曲げてガス流路435を形成する。反応チャンバ15からの放出ガスは、入口434からガス流路に流入し、出口436から流出する。ガス流路435は、隣り合わせに配置され、かつ、電極430の一部によって初期分離された2つのガス流路、すなわち流路435a及び流路435bを有する。ガス流路をこのような方法で2つの別個の流路に分割することにより、固定された領域内の電極430、432の表面積が増加する。電極430、432は、DPA内におけるRFプラズマ形成によって発生する高温条件下で溶融及び/又は湾曲しないように、充分な厚さを持たなければならない。他の実施形態では、電極430、432をアルミニウムから機械加工することができる。
【0128】
図16(b)は、図16(a)に示したDPAの斜視図である。図16(b)のDPA40は、その他のすでに述べたDPAの実施形態の場合と同様のアルミニウムのケーシング440に収容する。ケーシング440は、ねじ442でDPAに取り付けた扉441を含む。この扉を取り外すことにより、DPA40を掃除することができる。また、PFD接続部438を介して電極430に、RF及びDC電力も供給される。
【0129】
8.マイクロ波の第1実施形態
図17(a)は、DPA40の別の実施形態の側面断面図であり、図17(b)は、図17(a)に示した実施形態の正面図である。図17(a)及び(b)の実施形態は、マイクロ波源を使用してプラズマを発生し、DPA内の粉粒体及び残留物を削減する。多数の様々なマイクロ波源が利用可能であるが、購入価格及び運転経費の理由から、1対の交互パルス・マグネトロン(alternatively pulsed magnetron)450(例えば一部の電子レンジで使用されている種類のマグネトロン)を使用することが望ましい。このようなマグネトロンは、CWマイクロ波発生装置やRF発生器より価格的に2桁以上安価である。
【0130】
図18(a)に示すように、各マグネトロン450は、交差パルス(60Hz)電界(2.45GHz)を発生する。図18(b)に示すように、1つのマグネトロンのパルスを他のマグネトロンに対して180度の位相差分遅らせることにより、2つのマグネトロン源は、図18(c)に示すように、常に120Hzでパルスすることができる。図18(c)で、第1サイクルの波形(M1)は、1つのマグネトロンによって生成され、第2サイクル(M2)はもう1つのマグネトロンによって生成される。マグネトロンによって発生するエネルギは、高いプラズマ密度で90%に近いイオン化効率を達成することができる。したがって、そうした電力源では、一般に10〜20%の間のイオン化効率を達成する容量結合電極より高いクリーニング効率が得られる。
【0131】
マイクロ波源のさらに別の利点は、ジュール効果による発熱の減少である。発熱が少ないので、ガス流路456を定義する電極452、454(図17(a))を、比較的薄いシートメタルから容易に形成することができる。ガス流路456は、入口458から始まり、出口460で終わる。流路は、図16(a)の実施形態のガス流路435と同様の方法で分割され、二重経路を取る。また、入口458は、図17(b)のプロファイル464で示すように、ガス流路456の開始部がDPA40内に朝顔形に張り出している。
【0132】
マグネトロン450は、DPA40の両側に配置する。マイクロ波電力は、適切な導波管462(図17(b))によって反応装置に結合される。マグネトロン及び導波管は結合して、マイクロ波をガス流路456の幅全体に投影し、プラズマ形成がガス流路全体で発生できるようにする。シートメタル電極間の距離は、電界の各ノード(強さゼロの点)が電極表面にくるように、マイクロ波の波長に従って調整することができる(つまり、電極板間の距離は、マイクロ波の波長の周期の2分の1の倍数とする必要がある)。マグネトロン450と導波管462の配置のため、プラズマはガス流路456のあらゆる部分で形成される。セラミックの扉466(図17(b))は、マグネトロンと導波管を電極452、454から分離し、外側ケーシング468はDPAを閉囲し、第2水準の密閉を提供する。
【0133】
DC電源(図示せず)は電極452に結合され、堆積作業中又はその他の基板処理作業中に、上述の静電集塵機となる。しかし、クリーニング作業中にマグネトロン450を起動するときは、電極452のDC電源のスイッチ(図示せず)を切り、電極を接地する。このときの電極の接地への切替えは、放電を防止するために必要である。
【0134】
9.マイクロ波の第2実施形態
図19(a)は、DPA40の別の実施形態の側面断面図であり、図19(b)は、図19(a)に示す実施形態の正面図である。図19(a)及び(b)の実施形態は、マグネトロン450を用いてDPAプラズマを発生させるという点で、図17(a)及び(b)の実施形態と同様である。
【0135】
しかし、図19(a)に示すように、この実施形態のDPA40は、入口457のすぐ下流に配置された初期モジュール472を含む。モジュール472は、クリーニング・サイクル中のプラズマの発生を目的とするものであり、イオン化効率を高めて、エッチング・ガスの自由基(例えばCF4 をエッチング・ガスとして使用する場合、CFx 及び自由F)を発生できるようにする。こうして発生された自由基は寿命が比較的長く、DPAの第2モジュール475に送られても、堆積物や補集された物質と反応する。
【0136】
第2モジュール475は、好適な実施形態でシートメタルから形成した相対する電極476、480によって画成されるガス流路470を含む。ガス流路470は、図17(a)及び(b)の実施形態のガス流路456と同様の設計である。これは二重流路470a、470bを含み、出口478で終わる。
【0137】
マグネトロン450に導波管を結合する。導波管及びマグネトロンは、マイクロ波の発生によりモジュール472にプラズマが形成されるように、配置する。陽極476の内壁は、マイクロ波がモジュール472より外側のガス流路470の他の部分に到達するのを防止する。電極480はDC電源(図示せず)に接続し、上述の実施形態と同様の静電集塵機を形成する。この実施形態では、クリーニング・サイクル中に電極480へのDC電源のスイッチを切る必要が無い。第2モジュール475ではプラズマが発生しないので、放電の問題は無い。
【0138】
10.プロトタイプDPAを使用した微粒子削減の追加実験
本発明の有効性を実証する別の実験で、8インチ・ウェハ用に装備されたPrecision 5000型反応チャンバにDPA40の第2のプロトタイプを取り付けた。第2プロトタイプのDPAは、DPAをフォアラインに接続するために使用した下部フランジの設計以外は、図11に示したDPA40と同様であった。この第2プロトタイプのDPA及び下部フランジの断面図を、図20に示す。図20に示すように、下部フランジ200は、DPA内を流れる排ガスの方向を転換して約90度の角度でフォアラインへ送り込む。このフランジはまた、フォアライン接続部の反対側に石英窓を装備していたので、フランジの底部204に蓄積した堆積物を観察できる。上述の通り、プロトタイプDPAの下部フランジのこの設計は、図4(a)〜(f)、図12及び図13(a)に示したDPA40の実施形態におけるU字形流路又は機械的トラップの設計ほど効果的ではないが、それと同様の方法で、領域204で粉粒体を捕捉するという追加の利点があった。
【0139】
第2プロトタイプのこの装置は石英管206を含み、石英管の外部の周囲に3/8インチの銅管で形成されたコイル208を巻き付けた。コイル208の全長は約25フィートであり、以下の実験の記述で説明するように、13.56MHzの電源を様々な電力レベルで駆動した。石英管206及びコイル208は、アルミニウム容器210で密閉した。この組立体の全長は約14インチであり、組立体の幅は約4.5インチであった。
【0140】
第2プロトタイプのDPAの有効性を、3つの別個の実験で試験した。各実験では、真空排気マニホルドとフォアラインの間に第2プロトタイプのDPAを接続したPrecision 5000反応チャンバで、窒化ケイ素堆積/CF4フッ素クリーニング作業シーケンスで、100枚のウェハを処理した。第2プロトタイプのDPAは、各実験の堆積シーケンス時は作動を停止し(OFF)、フッ素クリーニング・シーケンス時は作動を開始して(ON)、13.56RF電源から電力を供給した。堆積中のOFF時に、図20に領域212として示す管206の内部に沿って微粒子を補集した。これらの微粒子は、クリーニング・シーケンス時にDPAが作動を開始すると、管206から除去された。3つの実験のそれぞれの条件を下の表2に要約する。
【0141】
【表2】
第1実験では、フッ素クリーニング・シーケンスは135秒であり、DPAは200Wで駆動した。CF4 を1500sccmの速度で処理チャンバに導入し、500sccmの速度で処理チャンバに導入したN2Oと混合した(3:1の割合)。100回の堆積/クリーニング・シーケンスの後、DPAを検査し、残留物及び堆積物が全然残っていないことが分かった。DPAの底部の角フランジに、少量の残留物の堆積が集まっていた。この残留物の堆積の原子濃度を測定した結果を、以下の表3に要約する。残留物内のケイ素の大部分は、ケイ素酸化物の形で含まれており、窒素の約半分は窒化ケイ素膜に含まれ、残りの半分はアンモニアの形を取っていた。
【0142】
第2実験では、フッ素クリーニング・シーケンスを120秒に短縮し、DPAを駆動する電圧は500Wに増加した。CF4 を2000sccmの速度で処理チャンバに導入し、500sccmの速度で処理チャンバに導入したN2Oと混合した(4:1の割合)。100回の堆積/クリーニング・シーケンスの後、DPAを検査し、残留物及び堆積物が全然残っていないことが分かった。角フランジに、少量の残留物の堆積が集まっていた。しかし、外観検査から、残留物の堆積量は、第1実験における堆積量より約80%少なかった。
【0143】
この残留物の堆積の原子濃度を測定した結果を、下の表3に要約する。この表から明白なように、この実験による残留物は、第1実験からの残留物よりかなり高い濃度のフッ素が含まれていた。このフッ素濃縮残留物から、プラズマのためにより多くのフッ素核種が得られ、したがって、その後のDPAの起動中に残留物を容易に除去することができる。また、この実験による残留物内のケイ素の圧倒的大部分はケイ素酸化物の形で含まれ、窒素の圧倒的大部分はアンモニアの形で存在した。
【0144】
第3実験は、第1及び第2実験中に残留物が集まりやすかった角フランジ及びDPAの両方から、残留物を完全に除去できることを実証した。この第3実験では、フッ素クリーニング・シーケンスの長さは120秒とし、DPAを駆動する電圧は500Wに増加した。CF4を処理チャンバに導入する速度は2500sccmに増加し、500sccmの速度で反応チャンバに導入されるN2Oと混合した(5:1の割合)。100回の堆積/クリーニング・シーケンスの後、DPA及び角フランジを検査し、両方とも残留物及び堆積物が全然残っていないことが分かった。
【0145】
残留物の有無及び組成について、これらの実験の結果を以下の表3に要約する。
【0146】
【表3】
B.PFC削減のために最適化されたDPA40の特定の実施形態
本発明の一部の実施形態は、PFCガスを放出する任意の処理工程から放出されるPFCガスを削減するように構成され、最適化されている。そのように構成したDPAのことを、PFC削減反応装置と呼ぶことがある(以下「PR2」という)。簡便さと参照のために、PR2装置として構成し最適化したDPA40のことを、本明細書の以下の部分ではPR2 240と記載する。PR2 240が、図3に示すDPA40の場合と同様に、反応チャンバに接続できることは理解されるはずである。
【0147】
本発明を使用してPFCの放出を削減することのできる処理工程の例として、ケイ素酸化物の堆積/クリーニング・シーケンスを使用する。ただし、本発明は以下の典型的なプロセス・シーケンスにおけるPFC放出の削減のみに限定されず、PFCガスを反応チャンバ15に導入するいかなる処理工程にも、また反応チャンバ15で行われる処理作業の副生物としてPFCガスが発生するいかなる処理工程にも適用できることを、理解する必要がある。さらに、本発明は、ハイドロフルオロカーボン(HFC)など他の物質、又は同様のガスの放出を削減するためにも、使用することができる。
【0148】
典型的な堆積/クリーニング・シーケンスでは、ケイ素酸化物の薄膜は、シラン(SiH4)及び亜酸化窒素(N2O)などの気体前駆物質をはじめとするプロセス・ガスから基板上に堆積する。堆積の完了後、基板を反応チャンバから取り出し、反応チャンバのクリーニング作業を実行し、反応チャンバの壁から望ましくないケイ素酸化物の堆積を食刻し除去する。クリーニング作業は、CF4及びN2Oのプラズマを衝突させることから成る。
【0149】
上述の通り、クリーニング作業中に反応チャンバに導入したCF4のうち、反応チャンバの壁に堆積した物質と実際に反応するのは、ごく一部分である。反応しなかった残りのCF4は、他の成分、反応の生成物及び副生物と一緒に、反応チャンバからフォアラインを介して排気される。
【0150】
この例では、本発明のPR2は、排気されたCF4からプラズマを形成する。プラズマから生じる成分は、PR2内の固体ケイ素酸化物などのケイ素源と反応し、CF4ガスを、PFCの潜在的危険性の無い低害のガス状生成物及び副生物に変換する。PR2の内部で行われる反応の一部を、次に列挙する。
【0151】
CFx + SiO2 → SiFx + CO2
CF4 + O2 → CO2 + 2F2
2CF4 + O2 → 2COF2 + 2F2
C + SiO2 → CO + SiO
SiO + F2 → SiOF2
いうまでもなく、厳密な反応及び反応シーケンスはもっと複雑であり、核種の電子衝突解離や気相自由基の再結合などの元素反応が起こる。PR2 から放出されることが知られている、上記の生成物及び副生物はどれもPFCではない。実際、上記の生成物及び副生物は全て水溶性である。したがって、PFC変換反応が100%の効率で行なわれた場合、本発明のPR2 から放出されるガスは、PFCを含まないガスである。
【0152】
上記説明は典型的な例示の目的だけであり、CF4以外のPFCガスを本発明のPR2 に導入してプラズマを形成すると、これらのPFCガスのプラズマもまた、ケイ素酸化物源と反応して、PFC以外のPFCほど有害ではないガス状生成物を発生する。
【0153】
動作中、PFCガスを真空チャンバ15から真空管路31に排気するときに、これらのガスはPR2 40を通過する。PR2 40の内部で排ガスは電界にさらされ、プラズマを形成及び/又は維持する。プラズマから生じた成分は、PR2 40内のケイ素及び/又は酸素化合物などのPFC酸化剤と反応し、放出PFCをPFC以外の低害ガス状生成物に変換し、これらの生成物はフォアラインを介してポンプで排気することができる。放出PFCガスはクリーニング作業中に排気流に存在するので、一般に、プラズマの形成が行なわれる(PR2 40を起動する)のは、堆積/クリーニング・シーケンスのうち、クリーニング作業中だけである。したがって、堆積シーケンス中には、PR2 40内でプラズマは一般に形成されない。ただし、堆積又はその他の処理段階(例えば、フッ素ガスが炭素包含フォトレジストと反応してCF4副生物を生じるエッチング段階)で、特定の処理工程からPFCガスが放出される場合には、PR2 240を起動して、その期間のPFC放出を削減することができる。
【0154】
PFCプラズマと反応するPR2 240内のケイ素及び酸素は、様々な供給源から供給することができる。本発明の幾つかの実施形態では、特別設計のフィルタに、プラズマ反応用の砂又は石英など、固体の酸化ケイ素化合物を包含する。ケイ素フィルタは、PR2 240のプラズマが形成される領域内に配置する。本発明の他の実施形態では、堆積/クリーニング・シーケンスのケイ素酸化物、窒化ケイ素、シリコン・オキシニトリド(silicon oxynitride)、炭化ケイ素、又は同様の堆積段階から排出される残留物を、DPA40に関連して説明したのと同様の方法で、PR2 240内で捕捉及び補集する。こうした堆積工程中に捕捉できる一般的な残留生成物として、SiO2、SiN、SiON、SiCなどの化合物がある。いうまでもなく、補集される実際の残留物は、堆積又はその他の処理工程中に導入されるガスによって異なる。補集された残留物は、プラズマ反応のケイ素源として作用する。捕捉は、図7に関連して先に説明したように、伝熱力と共に、機械的トラップ及び/又は静電トラップ機構を用いて行われる。これについては、本発明の様々な実施形態に関連して、以下でさらに詳しく説明する。いったん捕捉されたケイ素残留物又はその他の粉粒体は、PFCプラズマ内の活性種と反応してガス状生成物を形成し、真空管路31を通して排気されるまで、PR2 240内に残る。本発明のさらに別の実施形態では、特に放出PFCガスの分解を強化するため、ケイ素包含ガス及び/又は酸素包含ガスをPR2 240内に導入する。これらのケイ素及び/又は酸素包含ガスの導入は、ケイ素フィルタ及び/又は静電トラップ及び/又は機械的トラップ機構の使用に追加して、又はそれらの代わりとして用いることができる。
【0155】
プラズマを形成するためにPR2 240内で形成される電界は、容量結合電極、ホロー(中空)陰極リアクタ、又は誘導結合コイルにRF電力(好適な幾つかの実施形態においては、設備コスト及び運転コストを最小化するためにRF電力の代りに、HF電力(<350kHz)が用いられる)を印加するなど、周知の様々な方法を使用して発生することができる。マイクロ波装置やECR技術を使用することもできる。しかし、PFC変換は形成されるプラズマの密度に直接関係するので、一部の実施形態では、誘導コイルやホロー陰極リアクタなど、高密度のプラズマを形成する装置が望ましい。PFC変換は、プラズマが形成される電力にも直接関係するが、PR2 40装置内のPFCガスの滞留時間には反比例する。したがって、電源装置の実際の電力出力は、とりわけ、PR2 を使用する適用用途、プラズマの密度、PR2 240で処理するPFCガスの量、及びPFCガスの滞留時間などによって異なる。PR2 240は、PR2 を通過する実質的に全てのPFCガスをその他のガスに変換するのに充分なプラズマを発生することが、理想である。
【0156】
また、PR2 240は、その使用が実行されるプロセスに透過的になるように設計する必要がある。つまり、クリーニング・シーケンスでPR2 240を作動する場合、PR2 240は、クリーニング・シーケンス中に、クリーニング・シーケンスの実行時間を延長することなく、排ガス流内の実質的に全てのPFCガスを非PFCガスに変化するように、設計する必要がある。このような場合、PR2 240はウェハのスループットに悪影響を及ぼさない。
【0157】
RF電力はRF電源装置25から駆動することができ、また、PR2 240だけを駆動する別個のRF電源装置から供給することもできる。大抵の実施形態では、マイクロ波ECR実施形態を際だった例外として、周波数の低いRF電力を用いてPR2 240を駆動することが望ましい。約50KHz〜2MHzのRF電力を供給する周波数の低いRF電源装置を使用すると、13.56MHzなどの高いRF周波数の場合より、運転経費がかなり安価になる。クリーン・ルームに複数の処理チャンバが存在すると仮定した場合、処理チャンバに接続した複数のPR2 は全て、適切な数のRF電力スプリッタに接続された別個のPR2 専用RF電源装置によって駆動することができる。
【0158】
PR2 240の長さ及び大きさは変化させることができる。適用用途によっては、PR2 240はわずか4〜6インチ又はそれ以下の長さとすることができ、また別の適用用途では、PR2 240は真空管路31の全長(4〜5フィート以上)にわたるものとし、したがって管路に置き換えることができる。一般に、個々の分子の滞留時間は、PR2 の長さ及び容量の増加と共に増加する。PR2 の設計は、空間の問題と残留物補集効率のバランスを取らなければならない。しかし、適切に設計された微粒子トラップ機構又はフィルタを含むPR2 40は長さが短くあるいは容積が小さくても、処理チャンバから排出される実質的に全てのPFCガスを、低害のガスに変換することができ、長さや量席はあまり重要な要因にならない。
【0159】
本発明の装置は様々な実施形態を構成することができる。そうした実施形態の幾つかを、模範例として以下で説明する。なお、いかなる形でも、本発明がこうした特定の実施形態に制限されると解釈すべきではない。
【0160】
1.ケイ素包含フィルタ(Silicon-Filled Filter)の実施形態
a)単管、螺旋共振器の実施形態
図21は、PR2 240の第1実施形態の断面図である。図21のPR2 240は、処理チャンバ15からの排ガスがPR2 240内を通過するときに流れる管250を含む。管250は、セラミック、ガラス又は石英などの絶縁材で形成した円筒管である。好適な実施形態では、管250は、クリーニング段階で使用するフッ素などのエッチング・ガスと反応しないセラミック材で形成する。また、管250は、真空管路31の内径とほぼ同一の内径を持つ。他の実施形態では、管250は必ずしも円筒形である必要は無く、その代わりに角がある形、平面形、又は楕円形、又は同様の湾曲した内部表面を持つことができる。これら及びその他の実施形態では、管250の内径も、真空管路231の内径より大きくあるいは小さくすることができる。
【0161】
管250の内部にはフィルタ251がある。フィルタ251は多孔フィルタであり、プラズマ条件下でPFCガスと反応してガスを非PFCガスに変換することのできる固体ケイ素源を含む。フィルタ251は管251内に挿入できる消耗部品であってもよく、ケイ素化合物を使用し終わったら交換することができる。フィルタ251内のケイ素源は、多数のケイ素を包含する材料のどれでもよい。望ましくは、ケイ素源は、砂やガラス、石英、フリント、又はオニキスなどのケイ素酸化物材とする。また、フィルタは、ポンプ速度やフォアラインのコンダクタンスに著しい影響が出ないように充分多く孔を有することも望ましい。
【0162】
ケイ素酸化物材を使用することにより、PFCプラズマが反応するケイ素と酸素の両方が得られる。好適な実施形態では、ケイ素源として粉砕石英(crushed quartz)を使用する。石英を粉砕することで総表面積が増大し、より多くのケイ素を反応に利用することができる。さらに、粉砕石英は、堆積工程で発生する固体残留物の機械的フィルタとしても機能し、したがって、ケイ素残留物を発生する処理工程でそうした材料が反応チャンバ15から排出されるときに、反応のためにさらにケイ素材を追加的に捕捉することができる。
【0163】
管252の外側の周囲にコイル252を巻き付け、点256でRF電源に接続し、点257で接地電位に接続する。管250内を通過するPFC排ガスは、RF電源からコイル252への電圧の印加によって、プラズマ状態に励起する。プラズマ状態で、排気物質から生じる成分は、フィルタ251内の反応物質の固体ケイ素酸化物と反応し、PFCではないガス状生成物を形成する。この生成物は次に、上述の通りポンプ・システム32によってPR2 240及び真空管路31から排気される。
【0164】
ガス供給管路253は、PFC変換反応を強化するために、酸素及び/又はケイ素の源となる追加ガスを供給することができる。使用できる典型的なガスとして、O2 、O3 、N2O、SiH4などがある。いうまでもなく、テトラエチルオルソシリケート(tetraethylorthosilicate 、TEOS)などの液体源を蒸気にし、管路53から導入することもできる。追加反応強化ガスをPR2 40に導入する速度は、バルブ255を制御するプロセッサ34によって設定される。プロセッサ34は、制御ライン(図示せず)によって通信可能に(communicatively )バルブ255に結合する。
【0165】
コイル252は、螺旋共振器コイルなどの誘導コイルである。このようなコイルは当業者によく知られており、Michael A. Lieberman and Allan J. Lichtenberg, "Principles of Plamsa Discharges and Materials Processing," pp.404-410 John Wiley & Sons (1994)など、多数の周知の教科書のどれにでも記載された基準に従って設計することができる。なお、これを引用によって本明細書に組み込む。螺旋共振器コイルは、導電性の高い銅やニッケル、金などの金属、又は同様の導電性材料から形成することができる。コイルを適切に共振するには、コイルの長さが印加するRF信号の波長のほぼ1/4又はそれよりわずかに長いことが重要である。この長さのコイルはより強い電圧電界を形成し、それが今度はPFCガスの分解を高める。コイル252は、管の外側ではなく、管250の内部に巻き付けることができる。
【0166】
外部容器254で管250を取り囲む。容器254には、少なくとも2つの目的がある。第1に、これはファラデー・ケージとして作用し、CVD処理装置10やその他の機器を、コイル252によって生じる電磁放射から遮蔽する。第2に、セラミック管250が破損するか亀裂が入った場合、又は別の方法で管250の真空密閉が破れたとき、容器254は第2密閉を提供し、排ガスが漏出するのを防止する。容器254は、アルミニウムや鋼、又はその他の化合物など様々な金属から形成することができ、遮蔽効果のために接地することが望ましい。上部フランジ259及び下部フランジ258は、真空密閉を維持したまま、PR2 240をそれぞれ真空マニホルド24及び真空管路31に接続する。
【0167】
標準RF電源装置は、出力インピーダンスが50Ωとなるように設計される。したがって、コイル252のインピーダンスが50Ωとなるように、RD電源装置のコイル252への接点(点256)を選択しなければならない。電源装置に別のインピーダンス・レベルが必要な場合には、点256をそれに従って選択する必要がある。
【0168】
コイル252はRF電源装置により50W以上の電力レベルで駆動する。コイル252によって発生する実際の電圧は、RF電源装置によって使用される電力、コイル252の長さ及び巻線間隔、コイルの抵抗など、多数の要素によって異なる。電圧はコイルに沿って均等に展開するので、コイル全体の電圧レベルは、コイルを大地に接続する位置(点255)とRF電源装置に接続する位置(点256)との間のレベルを求めることによって決定することができる。例えば、特定のコイルが点255と256の間のコイル部分の4倍の長さである場合、コイルの総電圧は、点255と256の間の電圧レベルの4倍となる。
【0169】
コイル、電力レベル、及び印加RF周波数は、管250内で強いプラズマが形成されるように、選択する必要がある。ただし、そのときに、コイル252によって生じる電圧が、コイルから容器254へ電流が放電するレベルを超えないことを確保することも必要である。特定のPR2 で放電が問題になる場合は、容器254とコイル252との間に絶縁材を挟むことが可能である。しかし、設計を簡素化するために、容器254とコイル252の間の空間には空気を満たしておくことが望ましい。
【0170】
b)単管マイクロ波の実施形態
図22は、PR2 240の第2実施形態の断面図である。図22に示すPR2 240の実施形態は、図21に示す実施形態と多くの同一要素を含む。したがって、簡便さのために、図22及び本願の他の図面では、同様の要素を参照するために同様の参照番号を使用する。また、簡便さのために、図22及び他の図面における新しい要素についてのみ、以下で、適宜詳しく説明する。
【0171】
図22において、マイクロ波発生装置260及び導波管262は、PR2 240に流入する放出PFCガスから高密度のプラズマを発生させるために使用されている。電子サイクロトロン共振(ECR)装置の場合と同様に、管250の外部の周囲に磁石264を配置し、管250内の気体分子をさらに活性化し、プラズマの形成を増強する。プラズマから生じる成分は、フィルタ251内のケイ素酸化物材と反応し、PFCガスを非PFCガスに変換する。上述の実施形態の場合と同様に、この変換工程を強化するために、ガス管路253からPR2 240に追加ガスを加えることができる。
【0172】
図22に示すPR2 240の実施形態は、図には示さないが、容器254のような外部ケーシング内に収容することが望ましい。外部ケーシングは第2密閉を維持するので、管250に漏れやその他の欠陥が生じた場合に、管250内を通過するPFCやその他のガスがPR2 240から漏出するのを防止する。
【0173】
c)螺旋コイル・ホロー陰極リアクタ
図23は、PR2 240の第3実施形態の断面図である。図23の螺旋共振器コイル266は円筒形金属管268内に配置し、PR2 240の実施形態である螺旋コイル・ホロー陰極リアクタを形成する。コイル266はHF又はRF電源装置269に結合し、管268は接地する。PR2 240のこの実施形態のその他の応ぞうは、図23に示されていない。こうした構造は、例えばガス管路253、バルブ255、フランジ258、259、容器254等を含むが、これらは図17に示すPR2 240の場合と同様である。
【0174】
HF又はRF電力をコイル266に印加すると、コイルに印加されたRF電力からコイル内に誘導結合プラズマが形成され、またコイルと管268の間に容量結合プラズマが形成される。コイル266及び管268は、プラズマから生じる非常に反応性の高いフッ素核種にさらされるので、これらの部品はそうした核種と反応しない、ニッケルなどの適切な導電性材料で形成する必要がある。ケイ素フィルタ(図示せず)をコイル266内及び/又はコイル266の周囲に配置し、PFCプラズマと反応するケイ素及び酸素を供給することができる。さらに、ケイ素及び/又は酸素包含ガスを、ガス管路253からプラズマに供給することもできる。
【0175】
d)多段ホロー陰極リアクタ
図24は、PR2 240の第4の、より好適な実施形態の断面図である。図24では、円筒形の陽極272、陰極274、及び絶縁バリア275によって、円筒形のガス流路が形成されている。陰極274はHF又はRF電源装置269に接続し、陽極272は接地する。絶縁バリア275は陽極272を陰極274から絶縁する。この交互電極/陰極構成により、高密度のプラズマ(1012イオン/cm3 規模)を発生できる多段ホロー陰極リアクタが形成される。リアクタの各段階(陽極/陰極対)は、領域276として示す流路内の陰極に近接する領域で、高密度プラズマを発生する。
【0176】
ホロー陰極構成及び高プラズマ密度を維持すると共に、この実施形態のPR2 240内の分子の滞留時間を延ばすために、流路270内の圧力を、フォアラインのPR2 240の直後に配置した別個のスロットルバルブ(図示せず)により、制御することができる。制御圧力は、100〜500mtorr(フォアラインの基本圧力)から処理チャンバ内の圧力(PECVDプロセスの場合は4〜20torr、SACVD又はAPCVDプロセスの場合は700torr又はそれ以上)までの範囲とすることができ、実際の圧力はPFC変換が最大限に行われるように設定する。
【0177】
この多段ホロー陰極リアクタ設計の別の実施形態では、HF又はRF電力ではなく、DC電力を陰極274に供給することができる。しかし、DC電源からの方向性DC電流は電極を食刻する場合があるので、HF又はRF電力を供給することが望ましい。HF又はRF電源を使用すると、このスパッタ・エッチング効果は著しく改善されるか、全く存在しなくなる。大抵の好適な実施形態では、機器購入費及び運転経費を軽減するために、HF電力を使用する。
【0178】
図23のホロー陰極リアクタ設計の場合と同様に、PFC変換プロセスを適宜強化するために、ケイ素フィルタ(図示せず)又はガス管路253から供給されるケイ素及び/又は酸素包含ガスを使用することができる。また、図17に示すPR2 240の場合と同様のガス管路253、バルブ255、フランジ258、259、容器254等の構造も、図20には示されていない。
【0179】
2.ケイ素微粒子トラップの実施形態
PFCクリーニング・シーケンスの前に処理チャンバ15で行われる堆積、エッチング、又はその他の処理工程でケイ素包含残留物が生じる場合、本発明の装置の特定の実施形態によりそうした残留物を捕捉及び補集し、ケイ素源として使用することができる。したがって、これらの実施形態では、特別設計のケイ素フィルタを必ずしも必要としないが、使用してもかまわない。
【0180】
ケイ素残留物を発生する堆積工程の例として、TEOSやシランケイ素酸化物堆積工程、シラン窒化ケイ素堆積工程などがあるが、これだけに限定されない。そうした工程で排出され、PFC変換反応のために捕捉することのできるケイ素残留物としては、SiO2、SiN、SiON、SiC、非晶質ケイ素、及び同様の化合物が挙げられる。いうまでもなく、補集される実際の残留物は、使用される堆積、エッチング、又はその他の工程に導入されるガスによって異なる。
【0181】
これらの堆積又はその他の処理作業から排出される残留物は一般に、補集され、したがって最終的に処理チャンバの壁からきれいに掃除されるのと同じ残留物である。したがって、これらの実施形態におけるPFCガスから非PFCガスへの変換は、クリーニング作業中に処理チャンバ内で行われる反応と同一の反応によって達成される。
【0182】
a)単管螺旋共振器の実施形態
図25は、PR2 240の第5実施形態の断面図である。図25に示すPR2 240の実施形態は、PFCプラズマから生じる成分が反応するケイ素源が、挿入フィルタ内の固体ケイ素化合物ではなく、相対する電極280、282を含む静電集塵機によって捕捉されたケイ素包含残留物であるという点を除いては、図21に示す実施形態と同様である。ケイ素包含残留物は、DC電源装置284から電極280と282の間に印加される電圧によって、堆積又はその他の処理段階中に捕捉又は補集される。印加電圧は、電極282を電極280に比べて正に荷電させる(又はその逆)。残留微粒子がPR2 240を通過すると、正に荷電した微粒子が負に荷電した電極280の方向に引きつけられて補集され、負に荷電した粒子は正に荷電した電極282の方向に引きつけられて補集される。使用する堆積プロセス及びプロセスの長さによって、電極280、282に数ミリ又はそれ以上のケイ素包含残留物が堆積することがある。
【0183】
堆積シーケンスが完了し、クリーニング・シーケンスが開始した後、図21に関連して説明したのと同様の方法で、PR2 240に排出されたPFCガスからプラズマが形成される。プラズマから生じる成分は、電極280、282で補集されたケイ素残留物と反応し、非PFC生成物及び副生物を生じる。電極280と282の間の電圧(電位差)は、電極に沿って補集された微粒子がPFCプラズマと反応するまでそこに維持されるように、クリーニング・シーケンス中、維持することができる。しかし、特定の処理工程に使用するクリーン・ガス又はその他のガスが電極を食刻する場合には、クリーニング・シーケンス中に電圧を切ることが望ましい。電極280、282は、管250の内部に配置されているので、フッ素など非常に反応性の高い様々な核種と接触する。したがって、電極280、282は、そうした核種と反応しないニッケルなどの適切な導電性材料で形成することが重要である。
【0184】
本発明のこの実施形態及びその他の実施形態に、様々な静電トラップ装置を使用することができる。例えば、正のDC電圧ではなく、負のDC又はAC電圧を電極282に印加するとことができる。さらに別の実施形態では、電極280及び282を両方とも、電極282に大使電極280から正又は負の電圧を形成する電圧源に接続する。本発明は、特定の静電集塵機に限定されない。
【0185】
b)単管マイクロ波の実施形態
図26は、PR2 240の第6実施形態の断面図である。図26では、逆の極性を持つ電極(電極286、288)を円筒形の管250内に交互に配置し、上述の静電集塵機を形成する。堆積シーケンス中に、ケイ素包含又は酸素包含残留物又は同様の物質を、電極286、288の表面上に補集する。
【0186】
図22に示すPR2 240の実施形態の場合と同様に、図26の実施形態は、マイクロ波発生装置260及び導波管262からマイクロ波電力を印加することにより、装置内を通過するPFCガスから高密度プラズマを発生する。電子サイクロトロン共振(ECR)装置の場合と同様に、管250の外部の周囲に磁石264を配置し、管250内の気体分子をさらに活性化させ、プラズマの形成を増強する。プラズマから生じる成分は、電極286、288で補集されたケイ素及び/又は酸素残留物と反応し、PFCガスを非PFCガスに変換する。変換工程を増強するために、ガス管路253(図示せず)からPR2 240に追加ガスを加えることができる。
【0187】
また、図26に示したPR2 240の実施形態には、管の漏れ又はその他の欠陥が発生したときに、管250内を通過するPFC又はその他のガスがPR2 240から漏出できないように第2の密閉を形成する、管容器254又は同様のケーシング機構も示されていない。
【0188】
c)内部及び外部円筒管の実施形態
図27は、PR2 240の第7実施形態の断面図である。図27に示すPR2 240の実施形態は、第1内部セラミック管290及び第2外部セラミック管292を有する。管290の端部は管292の円筒形の空間内にあるので、PR2 240内のガスの流れは、矢印293で示すようになる。
【0189】
螺旋共振器コイル294は管292の外側の周囲に巻き付けられ、図21の実施形態に関連して述べたように、RF電源装置269に接続される。コイル294は、管92の内側か、あるいは管90の外側の周囲又は内側に巻き付けることもできる。
【0190】
上記の容器254と同様のシェル297で、内管290及び外管292を閉囲する。外管292は、内管290又はシェル297のいずれかへの接続によって支持することができる。いずれの場合も、外管292の支持構造により、放出ガス流がPR2 240内を通過できるようにすることが重要である。この目的のために、支持構造は、複数の貫通穴を有する管290と292の間の平面状のセラミック材とするか、管290と292の間に伸長するわずか4つの細長い接続部又はフィンガのうち3つだけにより構成するか、あるいは多くのその他の同様の方法で設計することができる。貫通穴を有する構造は、以下で説明する補集領域295内で粉粒体を補集及び捕捉するのに役立てることができる。しかし、この構造は、PR2 240を介して排出されるガスの流速を低下させないために、穴が充分な大きさとなるように設計する必要がある。
【0191】
この実施形態のPR2 240の設計により、堆積段階中に排出されるケイ素残留物やそのたの粉粒体の捕捉や補集が強化される。この設計は、排ガス流内の残留物や微粒子を補集及び保持する機械的トラップとして作用する管292の補集領域295を含む。残留物及び微粒子はトラップ内に保持され、したがって、クリーニング・シーケンス中に形成されるPFCプラズマの成分と反応するために利用することができる。
【0192】
本実施形態のPR2 240のトラップ部の動作は部分的に重力に依存し、微粒子をPR2 装置から真空管路内に掃引しようとする放出ガスの流路にも拘らず、粉粒体をトラップ内に保持するように作用する。したがって、部分的に、PR2 240の有効性は、微粒子が反応してガス状生成物になるまで微粒子が管292から流出するのを防止することに依存する。この目的のために、補集領域295がPR2 の入口から下向きになり、かつ、外管292が重力の作用と共にこのトラップを形成するのに充分な長さとなるように、PR2 240を配置することが重要である。
【0193】
PR2 240内の面AAにおけるガス流路の断面積を増加すると、粉粒体の捕捉にさらに役立つ。任意の堆積工程における放出ガス流の流速は、一般に一定である。したがって、1つ又はそれ以上の流路の断面積を増加すると、ガス流の微粒子の速度が低下し、これに相応して微粒子に対する中性抵抗力が低下する。微粒子に対する重力が中性抵抗力を超えると、その微粒子は重力によってPR2 240の重力トラップ内に捕捉される。
【0194】
機械的トラップの効果をさらに高めるために、図25に関連して述べたように、DC電源284に接続した電極296、298を含む静電集塵機272を配置することができる。
【0195】
d)機械的トラップ及び静電トラップ機構を含む複雑な実施形態
図28(a)は、本発明のPFC削減装置の他の好適な実施形態に使用するガス流路モジュール310の側面斜視断面図である。図28(a)では、1対の相対する電極320、322がガス流路(流体導管)を画成し、処理チャンバ15から排出されたガスはその中を通過する。モジュール310は、処理チャンバ15から排出された粉粒体が全部モジュール内で捕捉され補集されるのを確実にするために、静電トラップ機構及び機械的トラップ機構の両方を含む。
【0196】
静電トラップは、図25に関連して上述した通り、電極の1つにDC電圧を印加することによって形成する。この方法により、正に荷電した粒子は1つの電極の補集され、負に荷電した粒子はもう1つの電極に補集される。
【0197】
機械的トラップは、部分的に重力に頼って微粒子を補集領域324に補集することによって、ケイ素微粒子及び残留物をさらに捕捉する。各補集領域324はガス流路のU字形の部分を含み、微粒子をPR2 装置から真空管路内に掃引しようとする放出ガスの流路にも拘らず、このU字形の部分をその底部に微粒子が補集され維持されるように配置する。いうまでもなく、モジュール310は、補集領域324がモジュールの反対側に配置されていもよいように、上下をさかさまにすることができる。
【0198】
クリーニング・シーケンス中、電極の1つにRF電力を印加し、モジュール内を通過するPFCガスの容量結合プラズマを発生させる。電極320、322は、実質的に同一表面積を持つように設計することが望ましい。そうした設計により、電極によって画成される領域/流路全体に均等なプラズマを形成することができる。上記実施形態の場合と同様に、プラズマから生じる成分は、補集されたケイ素微粒子や残留物と反応し、PFCガスを非PFCガスに変換する。
【0199】
静電集塵機及び機械的トラップを結合することにより、反応チャンバ15から排出されるケイ素残留物を補集するために特に有効な機能が達成される。実際、そうした結合により、100%に近い補集効率が得られ、真空管路331内の堆積を除去又は防止するという追加的利点も達成される。先に図6及びDPA40に関連して述べたように、放出ガス流内に存在する比較的大きい微粒子は、重力によって補集チャンバ324内に維持されやすいので、機械的トラップ部はこうした比較的大きい微粒子を捕捉するのに、特に効果的である。一方、静電トラップは、放出ガス流内に含まれるが機械的トラップだけでは捕捉できないような小さい微粒子を補集及び捕捉するのに特に効果的である。また、前に述べた通り、電極間の温度勾配による伝熱力を利用して、微粒子を捕捉することもできる。
【0200】
図28(a)に示すモジュールは、PR2 340の他の様々な実施形態の一部として使用することができる。そうした実施形態の一例を図28(b)に示す。これは、図28(a)のガス流路モジュール設計の一部を使用し、1つのそうしたモジュールの上にもう1つのモジュールを積み重ねた、本発明のPFC削減装置の実施形態の側面斜視断面図である。いうまでもなく、図28(a)に示すモジュール又は同様のモジュールを使用した他の設計も可能である。例えば、3つ、4つ、又はそれ以上のモジュールを次々と配置し、微粒子補修用の電極の表面積を増大しながら、比較的長いガス流路を形成することができる。モジュール310は、PFCプラズマからの成分と反応できる追加ケイ素源を包含するフィルタ要素を装備することもできる。モジュール310を使用した他の設計のバリエーションの可能性は無尽蔵である。
【0201】
図28(b)で、反応チャンバ15からの放出ガスは入口330からPR2 340に流入し、出口332から流出する。分流器334は、電極320、322によって定義される複雑な流路を、ガスが矢印323に従って流れることを確実にする。PR2 340を縦に配向すると、入口330が軸AAに沿って上を向き、流路内を排出される大きい微粒子が、重力により補集領域324内に補集される。PR2 340を縦方向に配向すると、入口340が軸BBに沿って上を向き、流路内の排出される大きい微粒子が、補集領域325内に補集される。
【0202】
DC発電機338は、堆積及びクリーニング・シーケンスの両方の作業中に、正のDC電圧を電極322に供給し、電極320は接地される。したがって、負の荷電粒子は電極322の表面に補集され、正の荷電粒子は電極320の表面に補集される。
【0203】
他の実施形態の場合と同様に、RF発生器336はクリーニング・シーケンス中に電極にRF電力を供給し、流路内の放出PFCガスから電極320と322の間にプラズマを形成する。プラズマは、補集領域324又は325及び電極320及び322に沿って補集されたケイ素と反応し、PFCガスを非PFCガス状生成物及び副生物に変換する。DC/RFフィルタ340は、RF電源がDC発電機338と干渉するのを防止する。DC及びRF電力は、電極322ではなく、電極320に印加するしてもよいが、安全性及び電磁放射の問題を考慮して、電極320は接地することが望ましい。
【0204】
3.PFC削減DPAに関連する実験的使用及び試験結果
本発明の有効性を実証するために、8インチのウェハ様に装備したPrecision 5000型反応チャンバにプロトタイプのPR2 340を取り付けて、実験を実施した。Precision 5000型反応チャンバは、本発明の譲受人であるアプライド・マテリアルズ・インコーポレイテッドが製造したものである。
【0205】
実験に使用したプロトタイプのPR2 は、フィルタ351がセラミック管350内に含まれず、かつ、追加ガス供給管路353が存在しないことを除くと、図21のPR2 340と同様であった。PR2 の全長は約25インチであり、管350の直径は約1.5インチであった。PR2 は、Precision 5000型反応チャンバの下流のスロットルバルブの直後に取り付けた。
【0206】
実験では、CF4 及びN2Oクリーニング・シーケンスから放出されたガスを3段階で分析した。放出ガスを各段階で、MSKコーポレーションによって製造されたMSK300シリーズ残留ガス分析装置(RGA)を用いて監視した。測定は、真空ポンプ直前、反応チャンバから約20フィート下流で行なった。したがって、RGAでは安定した種だけが検出されると考えられた。質量分光分析計は非常に複雑なので、クリーニング・シーケンス前に堆積段階が実行しなかった。
【0207】
実験の条件は以下の通りであった。反応チャンバ内の圧力は2torrに設定し、維持した。この結果、PR2 内はそれに相応して0.5torrの圧力になった。反応チャンバ内に各々500sccmの速度でCF4及びN2Oを導入した。反応チャンバ内に形成するプラズマは、13.56MHzのRF電源(RF1)によって1000Wで駆動し、PR2 に形成するプラズマは、13.56MHzのRF電源(RF2)によって900Wで駆動した。
【0208】
実験の第1段階として、反応チャンバ内にクリーン・ガスを導入し、プラズマを形成せずに、反応チャンバ及びPR2 内を流動させた。第2段階として、Precision 5000反応チャンバ内にプラズマを形成させたが、PR2 では形成させなかった。第3段階では、反応チャンバ及びPR2 の両方でプラズマを形成させた。第1段階は、プロセスから放出されるスペクトルを決定し、CF4 の放出の相対的分析の基礎を設定するのに役立った。
【0209】
図29(a)は、反応チャンバ及びPR2 の両方でクリーン・ガスからプラズマを形成させたときに得た質量スペクトルを示す。RGA装置がガスをイオン化することによって、これらを検出することに留意することが重要である。したがって、CF3 +、CF2 +、及びCF+イオンの検出は、放出CF4ガスを表わしている。図29(a)で、C+(12)、N+(14)、O+(16)、F+(19)、CF+(31)、O2 +(32)、F2 +(38)、N2O+(44)、CF2 +(50)及びCF3 +(69)に対応するピーク(括弧内に示す)が検出された。各ピークは、初期ガス反応物質すなわちCF4及びN2Oの分解生成物である。CO+(28)、CO2 +(44)、COF+(47)、COF2 +(66)、及びCOF3 +(85)に対応するピークは、反応チャンバ及びPR2 内で発生した反応の副生物に対応する。CO2 +及びN2O+(線44)は重なっているので、解釈を誤るおそれがあった。しかし、CF4及びN2Oだけのスペクトルを記録し、かつプラズマが発生しない状態とRF1及びRF2が起動しているときの応答を記録することにより、線44のピークは90%CO2 +及び10%N2O+を表わすことを突き止めることができる。
【0210】
定性的には、CF3 +(69)、CF2 +(50)、CF+(31)のピークの応答が低下するときに、CF4の削減が観察される。分解のさらなる証拠は、N2Oに対応するピークの応答が低下するときに観察される。CO+(28)、CO2 +(44)、COF+(47)、COF2 +(66)及びCOF3 +(85)の反応のガス状副生物の応答は、CF4の削減に比例して増加する。
【0211】
図29(b)は、3段階の実験の各段階でRGAによって測定された特定のガスの発生ピークを示す。特に、図12(b)は、ピーク44(N2O+)、69(CF3 +)、及び28(CO+)の応答を示す。図29(b)に示す最初の80秒は、反応チャンバ内又はPR2 内にプラズマが形成されていないときのこれらのガスのピークの応答を示す。次の80秒間に、反応チャンバ内のみにプラズマが形成され、最後に始点から160秒から240秒までの期間に、反応チャンバ及びPR2 の両方にプラズマが形成される。
【0212】
図29(b)から明らかなように、反応チャンバ内でプラズマが発生すると、放出されるCF4及びN2Oの量は減少し、放出されるCO(CF4の変換プロセスの主要副生物)の量は増加する。PR2 40が起動すると(したがってPR2 40内にプラズマが発生すると)、CF4の放出はさらに減少し、全体で約30%のCF4の削減が達成される。
【0213】
結果は示さないが、別の実験では、PR2 内の圧力を約2torrに増加することによって、全体で約50%の削減が達成された。このように、これらの予備実験は、本発明の装置がPFCの削減に成功することを示している。本願で述べたPR2 の1つ以上の追加機能を組み込むことによって、さらに高い削減を達成することができる。また、CF4は一般に変換するのが最も困難なPFCガスの1つと認識されているので、今後の実験の結果から、他の多くのPFCガスの変換についても、さらによい結果が得られるはずである。
【0214】
本発明の幾つかの実施形態について詳しく説明したが、本発明に従って真空管路から粉粒体を除去するための数多くの他の同等又は代替的な装置及び方法が、当業者には明白であろう。また、本発明に従って処理チャンバからのPFCの放出を削減するための数多くの他の同等又は代替的な装置及び方法も、当業者には明白であろう。さらに、明瞭さと理解のために図を示し実施形態を挙げて、本発明を詳しく説明したが、特定の変化や修正を加えることができることは明白である。例えば、1つの実施形態で、本発明の機械的微粒子トラップについて、内部流路を外部流路で取り囲まれむと説明したが、そうしたトラップは、第1流路の周囲を第2流路内で取り囲まず、第2流路が第1流路から離れる方向又は第1流路から上に向かって伸長するように作成することができる。別の例として、開示した実施形態のいずれかにおけるガス流路は、図28(a)及び図28(b)に示しそれに関して説明した流路と同様の方法、又はその他の方法で、複雑な形状に設計することができる。ケイ素微粒子捕捉の実施形態は、電極で補集されるケイ素残留物の量が不十分である場合には、PFC分解を増強するために、石英又はその他のケイ素包含化合物を充満した別個のフィルタ要素を含めることができる。また、ケイ素フィルタを使用せず、微粒子捕捉システムも使用しない実施形態も可能である。これらの実施形態では、PFC変換反応のためにSiH4又はO2などのガスを、管路253などのガス供給管路を通してPR2 340に導入する。さらに、PR2 40の様々な実施形態に、図17(a)、(b)、及び図19(a)、(b)に示すDPA40の実施形態で使用したようなマグネトロンを使用することもできる。これらの同等例や変化例は、理解のために示した明らかな変更や修正などと共に、本発明の範囲内に含めるものとする。
【図面の簡単な説明】
【図1】本発明の装置を取り付けることができる簡素化した化学気相堆積装置の1つの実施形態を示す図である。
【図2】図1の化学気相堆積装置に本発明を接続する1つの方法を示す図である。
【図3】図1の化学気相堆積装置に本発明を接続する第2の方法を示す図である。
【図4】(a)は微粒子の削減(真空管路のクリーニング)のために最適化された本発明の装置の好適な実施形態の扉が無い状態の斜視図である。(b)は、図4(a)に示す真空管路クリーニング装置の扉が無い状態の正面図である。(c)は、図4(a)に示す真空管路クリーニング装置の中心面における正面斜視断面図である。(d)は、図4(a)に示す真空管路クリーニング装置の中心面における側面斜視断面図である。(e)は、図4(a)に示す真空管路クリーニング装置の電源貫通接続部(power feed through connection )の断面図である。(f)は、図4(a)に示す真空管路クリーニング装置の扉を含む状態の斜視図である。
【図5】図4(a)に示す電極及びこれに接続される回路の電気図である。
【図6】典型的窒化ケイ素堆積段階によって発生する微粒子に対する、本発明の真空管路クリーニング装置の一実施形態における静電集塵機の効果を示すグラフである。
【図7】本発明の真空管路クリーニング装置の一実施形態内の微粒子に対する中性抵抗力の効果を、静電力、重力、及び伝熱力(thermophoretic force)の効果と比較して示すグラフである。
【図8】15秒の窒化ケイ素堆積工程後の真空フォアライン内部のケイ素片上の残留物堆積量を示す顕微鏡写真である。
【図9】図8に示した残留物の1粒子の基本粒径(elementary grain size )を示す顕微鏡写真である。
【図10】本発明の試験前に実施した実験中に真空フォアライン内部のケイ素片に堆積した粉粒体の大きさを示す顕微鏡写真である。
【図11】本発明の真空管路クリーニング装置の第2実施形態の側面断面図である。
【図12】本発明の真空管路クリーニング装置の第3実施形態の側面断面図である。
【図13】(a)は、本発明の真空管路クリーニング装置の第4実施形態の側面断面図である。(b)は、図13(a)の装置に排出される微粒子に対する、図13(a)の装置に使用した静電トラップの効果を示す線図である。(c)は、図13(a)の装置に排出される微粒子に対する、図13(a)の装置に使用した静電トラップの効果を示す線図である。
【図14】(a)は、本発明の真空管路クリーニング装置のさらに別の実施形態の側面断面図である。(b)は、図14(a)に示す真空管路クリーニング装置の実施形態における一方の電極に対する他方の電極の表面積比率を示す線図である。
【図15】図14(a)に示す真空管路クリーニング装置の実施形態の電気図である。
【図16】(a)は、本発明の真空管路クリーニング装置の別の実施形態の側面断面図である。(b)は、図16(a)に示す真空管路クリーニング装置の斜視図である。
【図17】(a)は、マイクロ波の力を利用してプラズマを発生させる本発明の真空管路クリーニング装置の一実施形態の側面断面図である。(b)は、図17(a)の真空管路クリーニング装置の正面図である。
【図18】(a)は、図17(a)の装置のマイクロ波電源によって生じる電圧波形を示すグラフである。(b)は、図17(a)の装置のマイクロ波電源によって生じる電圧波形を示すグラフである。(c)は、図17(a)の装置のマイクロ波電源によって生じる電圧波形を示すグラフである。
【図19】(a)は、マイクロ波の力を利用してプラズマを発生させる本発明の真空管路クリーニング装置の第2実施形態の側面断面図である。(b)は、図19(a)の真空管路クリーニング装置の正面図である。
【図20】本発明の効果を評価する試験の実施に使用した、本発明の真空管路クリーニング装置の一実施形態の原型の側面断面図である。
【図21】PFC削減のために最適化した本発明の装置の一実施形態の側面断面図である。
【図22】本発明のPFC削減装置の第2実施形態の側面断面図である。
【図23】本発明のPFC削減装置の第3実施形態の側面断面図である。
【図24】本発明のPFC削減装置の第4の好適な実施形態の側面断面図である。
【図25】本発明のPFC削減装置の第5実施形態の側面断面図である。
【図26】本発明のPFC削減装置の第6実施形態の側面断面図である。
【図27】本発明のPFC削減装置の第7実施形態の側面断面図である。
【図28】(a)は、本発明のPFC削減装置の他の実施形態で使用するガス流路モジュールの側面斜視断面図である。(b)は、図28(a)のガス流路モジュール設計を採用した本発明のPFC削減装置の実施形態の側面斜視断面図である。
【図29】(a)は、CF4及びN2Oのクリーン・ガスからのプラズマ形成後に得た質量スペクトル・データを示すグラフである。(b)は、本発明の一実施形態を試験するために設計された実験中に測定された特定のガスの発生ピークを示すグラフである。
【符号の説明】
10…平行平板型化学気相堆積システム(反応チャンバ)、11…ガス分配マニホルド、12…サセプタ、15…真空チャンバ、25…RF電源装置、31…真空管路(フォアライン)、32…真空ポンプ・システム、34…プロセッサ、38…メモリ、40…DPA
Claims (13)
- 流体導管を画成する相対する表面を有する第1及び第2部材と、
前記流体導管内のエッチング・ガスからプラズマを形成又は維持するように適応させたマイクロ波プラズマ発生システムと、を備え、
前記流体導管は、入口、出口、及び前記入口と前記出口との間の集塵チャンバを有し、
前記集塵チャンバは、粉粒体が集塵チャンバから流出するのを防止するよう少なくとも1つの屈曲部を含んで構成されている基板処理チャンバの排気管路内の堆積を最小化する装置。 - 前記第1部材が第1電極を備え、前記第2部材が第2電極を備えており、
荷電された粉粒体を相対する電極表面に補集するために前記電極間に電圧を印加するために前記電極に動作可能に結合された手段を有する微粒子捕捉システムをさらに備えている、請求項1記載の装置。 - 前記基板処理チャンバのクリーニング作業中に、前記エッチング・ガスを基板処理チャンバから前記排気管路を介して排出するようになっている、請求項2記載の装置。
- 前記エッチング・ガスの少なくとも一部を、前記装置の上流であって前記基板処理チャンバの下流に位置する前記排気管路に導入するようになっている、請求項2記載の装置。
- 前記エッチング・ガスの少なくとも一部を、前記流体導管に直接導入するようになっている、請求項2記載の装置。
- 前記第1及び第2電極によって画成され、前記集塵チャンバをそれぞれ前記入口及び出口と連絡し、粉粒体が集塵チャンバから流出するのを防止するために少なくとも部分的に垂直の流路を有する前記第1及び第2流路を前記流体導管に設けてなる、請求項2記載の装置。
- 相対する電極表面の間に形成された流体導管が、前記入口と前記出口との間の流体導管内に連続的に形成された複数の集塵チャンバを画成している、請求項6記載の装置。
- 前記相対する電極表面が蛇行流体導管を画成している、請求項7記載の装置。
- 前記少なくとも部分的に垂直の第1及び第2流路が前記流体導管の長さに沿って伸長し、前記流体導管が前記装置の相対する側面間にわたる幅を有している、請求項7記載の装置。
- 前記マイクロ波プラズマ発生システムが第1及び第2マグネトロンを備える、請求項9記載の装置。
- 基板処理チャンバの排気管路内の堆積を最小化する方法であって、
堆積段階の間に、前記基板処理チャンバから排出される粉粒体を、前記排気管路に接続された粒子捕捉システムにおいて捕捉し、
前記粒子捕捉システムに接続されたマイクロ波プラズマ発生システムを用いて、前記堆積段階の間に前記基板処理チャンバの内側表面上に集まった堆積物を除去するクリーニング段階の間に、前記基板処理チャンバから排出されるエッチング・ガスから前記粒子捕捉システム内においてプラズマを生成し、前記プラズマが前記粒子捕捉システム内の前記粉粒体と反応して前記排気管路内の前記粉粒体の堆積量を最小にする方法。 - 前記粒子捕捉システムは、前記堆積段階の間に当該粒子捕捉システムで前記粉粒体を捕捉するための機械的トラップ及び静電気的トラップを含む請求項11に記載の方法。
- 前記クリーニング段階は、前記堆積段階の間に前記基板処理チャンバの内部表面に捕集された堆積物を反応性の高いフッ素核種にさらすことにより、当該堆積物を除去することを含む請求項11に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/741,241 US6045618A (en) | 1995-09-25 | 1996-10-30 | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US08/741241 | 1996-10-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH10150032A JPH10150032A (ja) | 1998-06-02 |
JP4245198B2 true JP4245198B2 (ja) | 2009-03-25 |
Family
ID=24979919
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP29904097A Expired - Fee Related JP4245198B2 (ja) | 1996-10-30 | 1997-10-30 | 基板処理チャンバの排気管路内の堆積を最小化する装置及び方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US6045618A (ja) |
EP (1) | EP0839929B1 (ja) |
JP (1) | JP4245198B2 (ja) |
KR (1) | KR100503127B1 (ja) |
DE (1) | DE69712154T2 (ja) |
TW (1) | TW345679B (ja) |
Families Citing this family (87)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6187072B1 (en) | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US6194628B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6277347B1 (en) * | 1997-02-24 | 2001-08-21 | Applied Materials, Inc. | Use of ozone in process effluent abatement |
US6797188B1 (en) * | 1997-11-12 | 2004-09-28 | Meihua Shen | Self-cleaning process for etching silicon-containing material |
US6448795B1 (en) * | 1999-02-12 | 2002-09-10 | Alexei Ermakov | Three coil apparatus for inductive measurements of conductance |
GB2350804A (en) * | 1999-06-12 | 2000-12-13 | Johnson Matthey Plc | Removing particulate matter from gas by thermophoresis and combustion |
US6867859B1 (en) | 1999-08-03 | 2005-03-15 | Lightwind Corporation | Inductively coupled plasma spectrometer for process diagnostics and control |
US6361706B1 (en) * | 1999-08-13 | 2002-03-26 | Philips Electronics North America Corp. | Method for reducing the amount of perfluorocompound gas contained in exhaust emissions from plasma processing |
JP2001132638A (ja) * | 1999-11-10 | 2001-05-18 | Ebara Corp | トラップ装置 |
JP2001252527A (ja) * | 2000-03-13 | 2001-09-18 | Seiko Epson Corp | Pfcの処理方法および処理装置 |
US6863019B2 (en) | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
WO2002004887A1 (en) * | 2000-07-08 | 2002-01-17 | Semitool, Inc. | Methods and apparatus for processing microelectronic workpieces using metrology |
US6428673B1 (en) * | 2000-07-08 | 2002-08-06 | Semitool, Inc. | Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology |
US6747734B1 (en) | 2000-07-08 | 2004-06-08 | Semitool, Inc. | Apparatus and method for processing a microelectronic workpiece using metrology |
US6791692B2 (en) * | 2000-11-29 | 2004-09-14 | Lightwind Corporation | Method and device utilizing plasma source for real-time gas sampling |
US6538734B2 (en) * | 2000-11-29 | 2003-03-25 | Lightwind Corporation | Method and device utilizing real-time gas sampling |
US20050061676A1 (en) * | 2001-03-12 | 2005-03-24 | Wilson Gregory J. | System for electrochemically processing a workpiece |
JP4707262B2 (ja) * | 2001-05-18 | 2011-06-22 | 株式会社ランドマークテクノロジー | 薄膜形成残渣処理装置 |
US20020185067A1 (en) * | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
US6676760B2 (en) | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
AU2002343330A1 (en) * | 2001-08-31 | 2003-03-10 | Semitool, Inc. | Apparatus and methods for electrochemical processing of microelectronic workpieces |
DE10159152A1 (de) | 2001-12-01 | 2003-06-12 | Mtu Aero Engines Gmbh | Verfahren zur Gasreinigung |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
JP4196371B2 (ja) * | 2002-08-20 | 2008-12-17 | キヤノンアネルバ株式会社 | ハロゲンガスの製造方法、ハロゲンガスの製造装置及びハロゲンガスの回収・循環システム |
US20040108212A1 (en) * | 2002-12-06 | 2004-06-10 | Lyndon Graham | Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces |
TW594337B (en) * | 2003-02-14 | 2004-06-21 | Quanta Display Inc | Method of forming a liquid crystal display panel |
US20040235299A1 (en) * | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
US8580076B2 (en) | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
WO2005012855A2 (en) * | 2003-07-25 | 2005-02-10 | Lightwind Corporation | Method and apparatus for chemical monitoring |
US20050148199A1 (en) * | 2003-12-31 | 2005-07-07 | Frank Jansen | Apparatus for atomic layer deposition |
US7988816B2 (en) * | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US20060276049A1 (en) * | 2005-06-06 | 2006-12-07 | Bailey Christopher M | High efficiency trap for deposition process |
JP4580833B2 (ja) * | 2005-07-21 | 2010-11-17 | 株式会社日立国際電気 | 基板処理システム及びトラップ装置 |
GB0516695D0 (en) * | 2005-08-15 | 2005-09-21 | Boc Group Plc | Microwave plasma reactor |
US8139672B2 (en) * | 2005-09-23 | 2012-03-20 | Qualcomm Incorporated | Method and apparatus for pilot communication in a multi-antenna wireless communication system |
GB0522088D0 (en) * | 2005-10-28 | 2005-12-07 | Boc Group Plc | Plasma abatement device |
EP1816668A2 (en) * | 2006-02-01 | 2007-08-08 | FEI Company | Particle-optical apparatus with a predetermined final vacuum pressure |
US8932430B2 (en) * | 2011-05-06 | 2015-01-13 | Axcelis Technologies, Inc. | RF coupled plasma abatement system comprising an integrated power oscillator |
US20070267143A1 (en) * | 2006-05-16 | 2007-11-22 | Applied Materials, Inc. | In situ cleaning of CVD system exhaust |
KR101501426B1 (ko) * | 2006-06-02 | 2015-03-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 차압 측정들에 의한 가스 유동 제어 |
GB0612814D0 (en) * | 2006-06-28 | 2006-08-09 | Boc Group Plc | Method of treating a gas stream |
US20080047578A1 (en) * | 2006-08-24 | 2008-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing clogging of reaction chamber exhaust lines |
US20080072822A1 (en) * | 2006-09-22 | 2008-03-27 | White John M | System and method including a particle trap/filter for recirculating a dilution gas |
US20080072929A1 (en) * | 2006-09-22 | 2008-03-27 | White John M | Dilution gas recirculation |
EP2081477A2 (en) * | 2006-10-07 | 2009-07-29 | TBW Industries, Inc | Vacuum line clean-out separator system |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US8118946B2 (en) * | 2007-11-30 | 2012-02-21 | Wesley George Lau | Cleaning process residues from substrate processing chamber components |
CN101468281B (zh) * | 2008-01-23 | 2010-12-15 | 邵光震 | 低温等离子体放电净化高温废气的装置 |
EP2248153B1 (en) * | 2008-02-11 | 2016-09-21 | Entegris, Inc. | Ion source cleaning in semiconductor processing systems |
DE102008014654A1 (de) | 2008-03-17 | 2009-09-24 | Robert Bosch Gmbh | Abgasbehandlungsvorrichtung für eine CVD-Vorrichtung, CVD-Vorrichtung sowie Abgasbehandlungsverfahren |
US7914603B2 (en) * | 2008-06-26 | 2011-03-29 | Mks Instruments, Inc. | Particle trap for a plasma source |
US9997325B2 (en) * | 2008-07-17 | 2018-06-12 | Verity Instruments, Inc. | Electron beam exciter for use in chemical analysis in processing systems |
JP5460982B2 (ja) * | 2008-07-30 | 2014-04-02 | 東京エレクトロン株式会社 | 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US8707899B2 (en) * | 2009-02-26 | 2014-04-29 | Hitachi High-Technologies Corporation | Plasma processing apparatus |
US7993937B2 (en) * | 2009-09-23 | 2011-08-09 | Tokyo Electron Limited | DC and RF hybrid processing system |
KR101770008B1 (ko) * | 2009-12-11 | 2017-08-21 | 노벨러스 시스템즈, 인코포레이티드 | 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정 |
US20110143548A1 (en) * | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
ITBS20100108A1 (it) * | 2010-06-17 | 2011-12-18 | Italghisa S P A | Dispositivo per il filtraggio di un fluido gassoso |
WO2012054876A2 (en) * | 2010-10-22 | 2012-04-26 | Magee Scientific Corporation | Method and analyzer for determining the content of carbon-containing particles filtered from an air stream |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
JP5921168B2 (ja) | 2011-11-29 | 2016-05-24 | 株式会社日立国際電気 | 基板処理装置 |
SE536165C2 (sv) * | 2012-01-05 | 2013-06-11 | Bencar Ab | System för styrning av miljö i en reaktionsbox |
EP2807902B1 (en) * | 2012-01-23 | 2020-08-19 | CONNORS, Robert W. | Compact microwave oven |
KR20140136594A (ko) * | 2013-05-20 | 2014-12-01 | 삼성전자주식회사 | 배기 장치 및 이를 구비하는 박막 증착 설비 |
JP2017510453A (ja) | 2014-03-06 | 2017-04-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマ・フォアライン・サーマル・リアクタ・システム |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
JP6582391B2 (ja) * | 2014-11-05 | 2019-10-02 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR102477302B1 (ko) | 2015-10-05 | 2022-12-13 | 주성엔지니어링(주) | 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법 |
CN108780733A (zh) * | 2016-02-01 | 2018-11-09 | 雷特罗萨米科技有限责任公司 | 用于过程反应室的排气通道的清洁装置 |
US11332824B2 (en) * | 2016-09-13 | 2022-05-17 | Lam Research Corporation | Systems and methods for reducing effluent build-up in a pumping exhaust system |
EP3309815B1 (de) * | 2016-10-12 | 2019-03-20 | Meyer Burger (Germany) AG | Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung |
KR20180086669A (ko) | 2017-01-23 | 2018-08-01 | 에드워드 코리아 주식회사 | 질소 산화물 감소 장치 및 가스 처리 장치 |
KR102646623B1 (ko) * | 2017-01-23 | 2024-03-11 | 에드워드 코리아 주식회사 | 플라즈마 발생 장치 및 가스 처리 장치 |
JP7169786B2 (ja) * | 2018-06-25 | 2022-11-11 | 東京エレクトロン株式会社 | メンテナンス装置 |
CN109530083B (zh) * | 2018-11-28 | 2023-12-12 | 昆明理工大学 | 一种细粒连续干式磁选装置及使用方法 |
WO2020110262A1 (ja) * | 2018-11-29 | 2020-06-04 | カンケンテクノ株式会社 | プラズマ生成ユニットおよびこれを用いた排ガス除害装置 |
EP4070358A4 (en) * | 2019-12-03 | 2023-12-13 | Rimere, LLC | INDUCTION PASS POWER SYSTEM |
KR20210115683A (ko) | 2020-03-16 | 2021-09-27 | 윤종호 | 호스클립용 체결공구 |
CN112195445B (zh) * | 2020-10-23 | 2023-01-06 | 成都国泰真空设备有限公司 | 一种等离子体蒸发镀膜机 |
KR20230025590A (ko) * | 2021-08-13 | 2023-02-22 | 삼성디스플레이 주식회사 | 배출 방법, 배출 시스템 및 이를 포함하는 기판 처리 장치 |
Family Cites Families (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS51129868A (en) * | 1975-05-07 | 1976-11-11 | Fujitsu Ltd | A process for treatment of waste gas |
JPS5278176A (en) * | 1975-12-25 | 1977-07-01 | Chiyoda R & D | Discharge reactor for gases |
DE2965333D1 (en) * | 1978-12-29 | 1983-06-09 | Ncr Co | Process and apparatus for cleaning wall deposits from a film deposition furnace tube |
JPS58101722A (ja) * | 1981-12-10 | 1983-06-17 | Fujitsu Ltd | 排気ガス処理装置 |
JPS59181619A (ja) * | 1983-03-31 | 1984-10-16 | Toshiba Corp | 反応性イオンエツチング装置 |
JPS60114570A (ja) * | 1983-11-25 | 1985-06-21 | Canon Inc | プラズマcvd装置の排気系 |
DE3414121A1 (de) * | 1984-04-14 | 1985-10-24 | Brown, Boveri & Cie Ag, 6800 Mannheim | Verfahren und vorrichtung zur reinigung von abgasen |
US4657738A (en) * | 1984-04-30 | 1987-04-14 | Westinghouse Electric Corp. | Stack gas emissions control system |
JPH0722151B2 (ja) * | 1984-05-23 | 1995-03-08 | 株式会社日立製作所 | エツチングモニタ−方法 |
US5137701A (en) * | 1984-09-17 | 1992-08-11 | Mundt Randall S | Apparatus and method for eliminating unwanted materials from a gas flow line |
JPS6328869A (ja) * | 1986-07-22 | 1988-02-06 | Nec Corp | Cvd装置 |
US4786352A (en) * | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
JPH0757297B2 (ja) * | 1987-04-22 | 1995-06-21 | 日本真空技術株式会社 | 真空排気系用微粒子トラツプ |
US4735633A (en) * | 1987-06-23 | 1988-04-05 | Chiu Kin Chung R | Method and system for vapor extraction from gases |
JP2671009B2 (ja) * | 1988-05-13 | 1997-10-29 | 増田 佳子 | 超微粒子の回収方法及びその回収装置 |
JPH02125876A (ja) * | 1988-11-01 | 1990-05-14 | Fujitsu Ltd | Cvd装置の排気機構 |
US5141714A (en) * | 1989-08-01 | 1992-08-25 | Kabushiki Kaisha Riken | Exhaust gas cleaner |
JPH045414A (ja) * | 1990-04-20 | 1992-01-09 | Agency Of Ind Science & Technol | 排ガス浄化装置 |
EP0454346A1 (en) * | 1990-04-21 | 1991-10-30 | United Kingdom Atomic Energy Authority | Exhaust particulate filter |
JPH04136175A (ja) * | 1990-09-26 | 1992-05-11 | Matsushita Electric Ind Co Ltd | 薄膜形成装置 |
US5451378A (en) * | 1991-02-21 | 1995-09-19 | The United States Of America As Represented By The Secretary Of The Navy | Photon controlled decomposition of nonhydrolyzable ambients |
US5211729A (en) * | 1991-08-30 | 1993-05-18 | Sematech, Inc. | Baffle/settling chamber for a chemical vapor deposition equipment |
US5279669A (en) * | 1991-12-13 | 1994-01-18 | International Business Machines Corporation | Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions |
JPH05202474A (ja) * | 1992-01-24 | 1993-08-10 | Hitachi Electron Eng Co Ltd | Cvd装置の排気ガスの異物捕獲方法 |
US5323013A (en) * | 1992-03-31 | 1994-06-21 | The United States Of America As Represented By The Secretary Of The Navy | Method of rapid sample handling for laser processing |
US5417826A (en) * | 1992-06-15 | 1995-05-23 | Micron Technology, Inc. | Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors |
US5422081A (en) * | 1992-11-25 | 1995-06-06 | Tokyo Electron Kabushiki Kaisha | Trap device for vapor phase reaction apparatus |
DE4319118A1 (de) * | 1993-06-09 | 1994-12-15 | Breitbarth Friedrich Wilhelm D | Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen |
US5453125A (en) * | 1994-02-17 | 1995-09-26 | Krogh; Ole D. | ECR plasma source for gas abatement |
JPH0910544A (ja) * | 1995-06-27 | 1997-01-14 | Alpha Tec:Kk | 除害装置及び成膜装置及びエッチング装置 |
US6060397A (en) * | 1995-07-14 | 2000-05-09 | Applied Materials, Inc. | Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus |
US6194628B1 (en) * | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6187072B1 (en) * | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US5827370A (en) * | 1997-01-13 | 1998-10-27 | Mks Instruments, Inc. | Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace |
-
1996
- 1996-10-30 US US08/741,241 patent/US6045618A/en not_active Expired - Lifetime
-
1997
- 1997-09-17 TW TW086113446A patent/TW345679B/zh not_active IP Right Cessation
- 1997-10-16 EP EP97118103A patent/EP0839929B1/en not_active Expired - Lifetime
- 1997-10-16 DE DE69712154T patent/DE69712154T2/de not_active Expired - Fee Related
- 1997-10-27 KR KR1019970055159A patent/KR100503127B1/ko not_active IP Right Cessation
- 1997-10-30 JP JP29904097A patent/JP4245198B2/ja not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
EP0839929A1 (en) | 1998-05-06 |
DE69712154D1 (de) | 2002-05-29 |
US6045618A (en) | 2000-04-04 |
EP0839929B1 (en) | 2002-04-24 |
TW345679B (en) | 1998-11-21 |
JPH10150032A (ja) | 1998-06-02 |
KR100503127B1 (ko) | 2005-09-26 |
KR19980033190A (ko) | 1998-07-25 |
DE69712154T2 (de) | 2002-12-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4245198B2 (ja) | 基板処理チャンバの排気管路内の堆積を最小化する装置及び方法 | |
JP4146919B2 (ja) | 基板処理設備のための現場真空ライン清浄用平行平板装置 | |
JP3992315B2 (ja) | 基板処理装置排出物からパーフルオロ化合物ガスを低減する装置 | |
JP3897382B2 (ja) | Cvdシステムの真空ラインのクリーニング方法及び装置 | |
KR101046969B1 (ko) | Cvd 배기 시스템의 인시튜 세정 | |
US20070107750A1 (en) | Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers | |
US20060090773A1 (en) | Sulfur hexafluoride remote plasma source clean | |
CN101278072A (zh) | 使用nf3除去表面沉积物的方法 | |
US20120180810A1 (en) | Cleaning of a process chamber | |
US6564810B1 (en) | Cleaning of semiconductor processing chambers | |
JPH07335563A (ja) | プラズマcvd装置 | |
Raoux et al. | A Plasma Reactor for Solid Waste Treatment on Pecvd Production Systems | |
Schabel | Particle growth in plasmas |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041007 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20070829 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070911 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071211 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20071211 |
|
RD13 | Notification of appointment of power of sub attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7433 Effective date: 20071211 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20071211 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080219 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080516 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20081209 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20090106 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120116 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120116 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130116 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |