JP4780411B2 - プラズマ処理装置および処理方法 - Google Patents

プラズマ処理装置および処理方法 Download PDF

Info

Publication number
JP4780411B2
JP4780411B2 JP2006533307A JP2006533307A JP4780411B2 JP 4780411 B2 JP4780411 B2 JP 4780411B2 JP 2006533307 A JP2006533307 A JP 2006533307A JP 2006533307 A JP2006533307 A JP 2006533307A JP 4780411 B2 JP4780411 B2 JP 4780411B2
Authority
JP
Japan
Prior art keywords
plasma
baffle plate
gas
processing apparatus
exhaust conduit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006533307A
Other languages
English (en)
Other versions
JP2007501535A (ja
Inventor
ベックネル アラン
バックリー トーマス
フェリス デイビッド
ピングリー ジュニア リチャード
サクシベル パラニクマラン
スリバスタバ アシーム
ウォルドフリード カルロ
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2007501535A publication Critical patent/JP2007501535A/ja
Application granted granted Critical
Publication of JP4780411B2 publication Critical patent/JP4780411B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、半導体装置および処理に関連し、より詳しくは、低誘電率材料を含む基板から有機材料をアッシングするために適した、プラズマを介した処理およびプラズマ装置に関する。
近年、次世代のマイクロエレクロニクスでの使用に供するために、低誘電率薄膜の開発が注目されている。集積回路の微小化が進むにつれて、相互接続に沿った信号伝播のRC遅延時間が、全体的なチップ速度の主要な限定要因の1つになっている。銅配線技術の出現により、Rは実際上最小限度にまで到達したため、Cを低減することに注目せざるを得ない。この課題を達成するための1つの方法は、相互接続の周囲に存在する絶縁薄膜の平均誘電率(k)を低減することである。従来の二酸化シリコン絶縁材料の誘電率(k)は、約3.9である。誘電率(k)を3.9よりも小さくすることによって、静電容量が低減し、全体的なチップ速度が向上する。
先進的な集積回路で使用される低誘電率材料は、典型的には、有機高分子または酸化物からなり、約3.5よりも小さい誘電率を有する。低誘電率材料は、溶液として基板上に広げることもでき、あるいは、化学気相成長法により堆積させることもできる。低誘電率材料の重要な特性には、厚み、均一性、誘電率、屈折率、粘着性、化学的耐性、熱安定性、細孔の大きさおよび分布、熱膨張係数、ガラス転移温度、薄膜の応力、および、銅拡散定数が含まれる。
ウエハ上への集積回路の製造において、一般に、ウエハは多くの工程を経て最終的な集積回路となる。低誘電率材料、特に炭素含有低誘電率材料は、これらの工程のいくつかに対して鋭敏である。例えば、「アッシング(灰化)」工程中に使用されるプラズマにより、フォトレジストだけでなく低誘電率材料の一部が除去される可能性がある。アッシングとは、一般に、プラズマを介した剥離工程のことをいい、プラズマへの曝露によって、残留フォトレジストおよびエッチング後の残留物を基板上から剥離または除去するものである。フォトレジストは、エッチング処理において基板にパターンを形成するためのマスクや、イオン注入処理において基板の露出部分に選択的にイオンを注入するためのマスクとして使用され、アッシング処理は、一般に、それらのエッチング処理または注入処理を実施した後に実施される。エッチング処理またはイオン注入処理の後、ウエハ上に残存するフォトレジスト、および、あらゆるエッチング後残留物または注入後残留物は、当業者に周知の多くの理由のために、次の処理の前に完全に除去しなければならない。アッシング工程の後には、通常、残留物の痕跡を除去するための化学的湿式処理が施され、これによって、低誘電率材料の分解がさらに進行し、材料の損失、および誘電率の上昇の要因となる可能性がある。
アッシング処理は、エッチング処理とは大きく異なるものである。両者は共にプラズマを介する処理ではあるが、エッチング処理では、そのプラズマの化学反応が、フォトレジストマスクの開口を通じて基板表面の一部を除去することにより基板に像を転写する目的のために選択される点において、大きく異なっている。そのプラズマは、通常、基板の一部を除去するために、低温および低圧(ミリトル程度)における高エネルギーのイオン衝突を含むものである。加えて、基板のイオンに曝される部分は、フォトレジストマスクの除去速度以上の速度で除去される。一方、アッシング処理は、通常、フォトレジストマスク、および、エッチング中に形成された高分子または残留物を選択的に除去するものである。アッシング用プラズマの化学反応は、エッチングの化学反応と比較して、その攻撃性が大幅に弱いものであり、通常、フォトレジストマスク層を、基板の除去速度よりも大幅に高速に除去するように選択される。加えて、大部分のアッシング処理では、プラズマの反応性を高めるために基板を200°C以上に加熱し、かつ、比較的高圧(1トル程度)で実施される。このように、エッチング処理とアッシング処理とは、除去の対象とする材料が著しく異なり、それによって、全く異なるプラズマ化学反応および処理を要するものである。適切なアッシング処理は、基板に像を転写するために使用されない。むしろ、適切なアッシング処理は、例えば低誘電率層等の下層に影響を及ぼすことなく、あるいは、下層を除去することなく、フォトレジスト、高分子、および残留物の除去速度によって定められる。
フォトレジスト除去処理中の低誘電率材料の分解に対する重要な寄与が、アッシング用プラズマの生成のために酸素および/または窒素および/またはフッ素を含有するガス源を使用することから生ずることを示唆する研究がなされている。1種以上のこれらのガス源を含む混合ガスを使用することによって、基板からフォトレジストを効果的にアッシングすることが可能になるけれども、これらのガス源の使用は、低誘電率材料を含む基板に対して有害であることが証明された。例えば、酸素含有プラズマは、プラズマ処理中に、低誘電率層の誘電率を上昇させることが知られている。誘電率の上昇は、とりわけ相互接続の静電容量に影響を及ぼし、デバイスの性能に直接的に影響する。さらに、酸素含有プラズマの使用は、銅が酸化し易いために、一般に、銅配線技術を用いた先進的なデバイス製造では好ましいものではない。酸素含有プラズマによって生じた損傷が、プラズマ処理後の基板の計測検査において容易に検出できない場合もある。しかしながら、この損傷は、通常プラズマアッシング後に実施され、炭素および/または水素を含有する低誘電率材料の一部が除去される湿式洗浄処理において、容易に観察することができる。誘電体材料の除去部分は、構造物の臨界寸法(critical dimension:CD)の許容範囲を超えるばらつきの要因となり、全体的なデバイスの歩留まりに影響を与える。さらに、湿式洗浄処理が含まれない場合でも、酸素含有プラズマへの曝露によって、誘電体材料の電気的特性および機械的特性が変化し、動作性能に影響を及ぼす可能性がある。酸素含有プラズマへの曝露の間に、誘電体材料から炭素が消耗すると考えられている。
米国特許第5,498,308号明細書 米国特許第4,341,592号明細書 国際特許出願WO/US0097/37055パンフレット
理想的には、アッシング用プラズマは、下層である低誘電率層に影響を及ぼすことなく、フォトレジスト材料のみを選択的に除去するものである。SiO2のような従来の誘電体(より高い誘電率)を使用すれば、これらのガス源を使用して高い選択性を備えることができ、以前のデバイス製造のためには好適であった。しかし、低誘電率材料に対する損傷を最小限に抑制するために、無酸素および無窒素のプラズマ処理が開発された。そのような処理の1つには、ヘリウムおよび水素からなる混合ガスからプラズマを生成し、異なるメカニズムにより除去するものがある。ヘリウムと水素から形成されたプラズマのような、無酸素および無窒素のプラズマは、攻撃性が低く、従来の意味ではフォトレジストと完全に反応するものではない。むしろ、このプラズマは、フォトレジストの一部を、例えば昇華によって除去可能にするものであると考えられている。この除去メカニズムの結果として、基板からフォトレジストを効果的に除去する一方、プラズマの照射によって、処理チャンバー内、および排気ラインとその構成要素のようなプラズマ処理チャンバーの下流の領域に、昇華または除去されたフォトレジストおよび副産物の大きな塊が堆積する傾向がある。アッシング材料の蓄積は、平均洗浄間隔(mean time between clean:MTBC)の短縮と真空装置の再組立/交換の頻度の増大につながり、スループットの低下とコストの増大をもたらす。さらに、基板上に配置されている処理チャンバー内へのフォトレジスト材料の堆積は、基板の粒子汚染につながり、それによって、デバイスの歩留まりにさらなる影響を及ぼす。
さらに、無酸素および無窒素のプラズマにおける問題点として、プラズマ照射の非均一性がある。これらのプラズマは、攻撃性が低いため、非均一性は重要な問題である。ダウンストリーム型プラズマアッシャーには、プラズマが生成されるプラズマ管に小径の開口部を有しているものがある。一般に、基板の直径は、プラズマ管の開口部の直径よりもはるかに大きい。したがって、通常、プラズマ管の出口付近にはバッフル板が配置され、プラズマが処理チャンバー内に進入する際にその方向を逸らして、プラズマ中の化学種が基板全体に渡って分散するようにしている。しかし、攻撃性の低いプラズマでは、反応性化学種がバッフル板の中央部から外縁部に分散されると、ホットスポット(すなわち非均一な領域)が形成され、アッシングの効率が低減することが分かった。例えば、プラズマ中に生成される水素ラジカルは、軸流反応炉中のバッフル板上の中央部の衝突点から、バッフル板の外縁部に移動すると、アッシングの効率が低下することが発見された。ウエハの直径がプラズマ管の直径に匹敵するようなチャンバーにおいては、ラジカルの非均一性を別の方法により軽減することができる。
無酸素および無窒素のプラズマの別の問題点は、終了点の検出である。従来の終了点の検出法および装置は、この種のプラズマでの使用に適したものではない。例えば、水素とヘリウムの混合ガスから形成されたプラズマの場合、終了点の検出に適した信号を生成するのに十分な程度に光学励起された化学種は放出されない。
したがって、低誘電率材料で使用するための、酸素および窒素を含有しないプラズマを生成する装置および処理方法の改善には、強い要望がある。
本明細書は、低誘電率材料を含む基板を処理するための軸流ダウンストリーム型プラズマ処理装置であって、窒素および酸素を実質的に含有しないガス源と、該ガス源に流体的に連通すると共に、プラズマ管および該プラズマ管に結合されて前記ガス源から前記プラズマ管内にプラズマを生成するためのプラズマ生成装置を含むプラズマ生成部と、前記ガス源と前記プラズマ生成部との間に介在するガス精製器と、前記プラズマ管に流体的に連通すると共に、入口付近にバッフル板アセンブリーを含む処理チャンバーと、該処理チャンバーの底壁の中央部に配置された排気導管とを含む軸流ダウンストリーム型プラズマ処理装置を開示するものである。前記処理チャンバーは、その入口付近にバッフル板アセンブリーを含み、該バッフル板アセンブリーは、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含んでおり、該上側バッフル板は、前記下側バッフル板よりも小さく、前記下側バッフル板と前記処理チャンバーの上壁との間に前室を形成し、前記下側バッフル板は、中心軸回りに半径方向に配置された複数の開口部を含むと共に、該複数の開口部それぞれの寸法は、前記下側バッフル板の中心軸から外縁部へと増大し、かつ、前記バッフル板アセンブリーは、前記基板に対して略平行に配置されている。
本発明の別の態様として、低誘電率材料を含む基板を処理するための軸流ダウンストリーム型プラズマ処理装置であって、窒素および酸素を実質的に含有しないガス源と、該ガス源に流体的に連通すると共に、プラズマ管および該プラズマ管に結合されて前記ガス源から前記プラズマ管内にプラズマを生成するためのプラズマ生成装置を含むプラズマ生成部と、前記ガス源と前記プラズマ生成部との間に介在するガス精製器と、前記プラズマ管に流体的に連通すると共に、入口付近にバッフル板アセンブリーを含む処理チャンバーと、該処理チャンバーの底壁の中央部に配置された排気導管とを含む軸流ダウンストリーム型プラズマ処理装置が開示されている。前記処理チャンバーは、その入口付近にバッフル板アセンブリーを含み、該バッフル板アセンブリーは、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含んでおり、該上側バッフル板は、前記下側バッフル板よりも小さく、前記下側バッフル板と前記処理チャンバーの上壁との間に前室を形成し、前記下側バッフル板は、中心軸回りに半径方向に配置された複数の開口部を含むと共に、該複数の開口部は、前記下側バッフル板の中心軸から外縁部へとその密度が増大するものである。
本発明の別の態様として、炭素および/または水素を含有する低誘電率層を含む基板を処理するための軸流ダウンストリーム型プラズマ処理装置であって、窒素および酸素を実質的に含有しないガスのガス源と、該ガス源に流体的に連通し、前記窒素および酸素を実質的に含有しないガスから、窒素含有化学種および酸素含有化学種を削減するガス精製器と、該ガス清浄器に流体的に連通すると共に、プラズマ管および該プラズマ管に結合されて、精製された窒素および酸素を含有しないガスから前記プラズマ管内にプラズマを生成するためのプラズマ生成装置を含むプラズマ生成部と、前記プラズマ管に流体的に連通し、入口付近にバッフル板アセンブリーを含む処理チャンバーと、該処理チャンバーの底壁の中央部に配置され、酸化ガス源に流体的に連通するガスポートを含む排気導管と、該排気導管に流体的に連通し、前記排気導管内に好ましくは酸化プラズマを生成するアフターバーナーアセンブリーと、前記排気導管に結合され、前記酸化プラズマにより形成されるプラズマ放電領域に焦点を有する集光光学系を含む光学検出システムと、を含む軸流ダウンストリーム型プラズマ処理装置が開示されている。
また、本明細書は、フォトレジスト材料またはエッチング後残留物を、炭素および/または水素を含有する低誘電率層を備えた基板から除去するためのプラズマアッシング処理方法であって、酸素および窒素を実質的に含有しないガスをガス精製器に流入させ、汚染レベルが低減された精製ガスを形成するステップと、前記精製ガスからプラズマを生成するステップと、前記プラズマを受け入れると共に、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含み、該上側バッフル板は、前記下側バッフル板よりも小さく、前記下側バッフル板は、中心軸回りに半径方向に配置された複数の開口部を含むと共に、該複数の開口部は、前記下側バッフル板の中心軸から外縁部へとその密度が増大するバッフル板アセンブリーを含む処理チャンバーに、前記プラズマを導入するステップと、前記プラズマを、前記バッフル板アセンブリーを通じて流動させ、前記基板に照射して前記フォトレジスト材料、前記エッチング後残留物、および揮発性副産物を前記基板から除去するステップと、除去された前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物を、前記処理チャンバーの中央部に配置された排気導管へ排出するステップと、前記排気導管内に酸化ガスを選択的に導入するステップと、前記酸化ガス、および、前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物からプラズマを形成するステップと、前記排気導管内プラズマに発生する放出信号を光学的に監視するステップと、前記放出信号の観察から、前記フォトレジストおよび前記エッチング後残留物の終了点を検出するステップと、を含むプラズマアッシング処理方法を開示するものである。
本発明の別の態様として、フォトレジスト材料またはエッチング後残留物を、炭素および/または水素を含有する低誘電率層を備えた基板から除去するためのプラズマアッシング処理方法であって、酸素および窒素を実質的に含有しないガスをガス精製器に流入させ、汚染レベルが低減された精製ガスを形成するステップと、前記精製ガスからプラズマを生成するステップと、前記プラズマを受け入れると共に、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含み、該上側バッフル板は、前記下側バッフル板よりも小さく、前記下側バッフル板は、中心軸回りに半径方向に配置された複数の開口部を含むと共に、該複数の開口部それぞれの寸法は、前記下側バッフル板の中心軸から外縁部へと増大するバッフル板アセンブリーを含む処理チャンバーに、前記プラズマを導入するステップと、前記プラズマを、前記バッフル板アセンブリーを通じて流動させ、前記基板に照射して前記フォトレジスト材料、前記エッチング後残留物、および揮発性副産物を前記基板から除去するステップと、除去された前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物を、前記処理チャンバーの中央部に配置された排気導管へ排出するステップと、前記排気導管内に酸化ガスを選択的に導入するステップと、前記酸化ガス、および、前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物からプラズマを形成するステップと、前記排気導管内プラズマに発生する放出信号を光学的に監視するステップと、前記放出信号の変化の観察から、前記フォトレジストおよび前記エッチング後残留物の終了点を検出するステップと、を含むプラズマアッシング処理方法が開示されている。
本発明の上述した特、および、他の特徴は、添付図面および以下の詳細な説明によって例示される。添付図面を通じて、同等の構成要素には同様の符号を付して参照する。
図1は、低誘電率材料を含む基板から、フォトレジスト、側壁への堆積物、およびエッチング後残留物を除去するために好適な軸流ダウンストリーム型プラズマ装置10を示す図である。プラズマ装置10は、概略的には、配ガス部12、プラズマ生成部14、処理チャンバー16、および排気アンセブリー18からなる。これらの様々な構成要素を組合せることによって、例えば、炭素を含有する低誘電率材料を含む基板を、酸素および窒素を含有しないプラズマを用いて処理することにおいて、予想を超える改善をもたらすものである。
本発明の実施形態として特に好適な軸流ダウンストリーム型プラズマ装置は、プラズマアッシャーであり、例えば、アセクセリス・テクノロジーズ・コーポレーション(Axcelis Technologies Corporation)からFusion ESという商標で市販されているマイクロ波プラズマアッシャーが挙げられる。このマイクロ波プラズマアッシャーの一部は、特許文献1、特許文献2、および特許文献3に記載されており、それらの記載は参考として本明細書に含まれる。以下に説明するように、本発明は、特定のプラズマアッシャーのこの実施形態および後述する実施形態に限定されない。例えば、誘導結合プラズマ反応炉を使用することができる。
本明細書に記載された炭素含有低誘電率材料は、集積回路等の製造において好適に使用される炭素含有絶縁材料であって、約3.5よりも小さい誘電率を有するものと定義される。炭素含有誘電率材料は、炭素を含有する側基を含んでいてもよく、または、誘電材料の主鎖が主として炭素の相互接続網からなる炭素ベースの化合物であってもよい。炭素含有低誘電率材料は、有機物とドープ処理酸化物の2種類のうちの1つに分類することができる。有機低誘電率材料の例には、ポリイミド、ベンゾシクロブテン、パリレン、ダイアモンド型炭素、ポリ(アリレン・エーテル)、シクロテネン、フッ化炭素等が含まれており、例えば、SilKまたはBCBという商標で市販されているような誘電体が含まれる。ドープ処理酸化物低誘電率材料の例には、メチル・シルセスキオキサン、水素シルセスキオキサン、ナノポーラス酸化物、炭素ドープ処理二酸化シリコン等が含まれており、例えば、CORAL、BLACK DIAMOND、または、AURORAの商標で市販されている誘電体が含まれる。両方のタイプの炭素含有低誘電率材料には、稠密性材料および多孔性材料がある。多孔性材料は、LKD、ORION、BOSS、または多孔性SiLKという商標で市販されている。本明細書の開示に基づけば、当業者にとって、その他の炭素含有低誘電率材料が明らかであろう。
同様に、本明細書に記載された水素含有低誘電率材料は、集積回路等の製造において好適に使用される水素含有絶縁材料であって、約3.5よりも小さい誘電率を有するものと定義される。多くの上記炭素含有低誘電率材料は、その化学構造中に、炭素原子に結合する1つまたは複数の水素原子を含んでいる。しかし、本発明に好適な水素含有低誘電率材料は、炭素含有構造を有するものに限定されない。
図1に示すように、配ガス部12は、好ましくは、(酸素および窒素を含有しないプラズマを生成するための)ガス源22に流体的に連通するガス精製器20と、プラズマ生成部14のガス入口ポート23を含んでいる。その場(in-situ)洗浄機能を備えるために、ガス入口ポート23に追加のガス源(図示省略)を流体的に連通させてもよい。好適な実施形態では、ガス精製器20は、不純物を約20ppm(parts per million)よりも小さいレベルに低減するものであり、より好ましくは、不純物レベルを約5ppmよりも小さく、さらに好ましくは、約1ppmよりも小さく、最も好ましくは、約100ppb(parts per billion)よりも小さくするものである。このような不純物レベルを達成する好適なガス精製器には、メタルゲッタリング技術を使用したものがあり、例えば、セイエス・ピュア・ガス・インコーポレーテッド(SAES Pure GAS,Inc.)から、MONO TORRの商標で市販されている高純度精製器がある。プラズマを生成するために、ガス源22に流体的に連通するガス精製器20を使用することによって、低誘電率基板、特に炭素含有低誘電率材料のロバスト処理のために効果的なレベルにまで、汚染レベルを低減することができる。酸素および窒素を含有しないプラズマを生成するために好適なガスには、水素、ヘリウム、アルゴン、ネオン、他の不活性ガス、炭化水素、および、これらのガスの1つ以上を含む組合せが含まれるが、これらに限定されるものではない。例えば、炭素ベースの低誘電率材料のプラズマを介した処理にとって、公称純度99.999%を有するヘリウムガス源が望ましくない場合がある。H2O、O2、CO、CO2、および、N2のような不純物が、後続する基板処理の間に低誘電率材料の侵食を引き起こすために十分なレベルになり、誘電率を有害なレベルにまで上昇させる可能性がある。プラズマを形成するための流入ガスは、H2O、O2、CO、CO2、および、N2の含有量が約20ppmよりも小さくなるように精製されることが好ましい。ガス精製器20は、例えば、300mm用ダウンストリーム型プラズマアッシャーで予想される約1000〜約1200sccm(standard cubic centimeters per minute)またはそれ以上の比較的大きな流量で、このような好適な不純物レベルを効果的に提供するように選択することが好ましい。200mm用のシステムでは、それに比例して流量小さくなる。
図2および図3は、本発明の実施形態を例示するマイクロ波方式プラズマ生成部14を示す図である。図4は、このマイクロ波方式プラズマ生成部を含むプラズマプラズマアッシング装置10と、処理チャンバー16を示す透視図である。図示されたプラズマ生成部14は、本発明の理解のために適切な構成要素のみを示すように簡略化されている。プラズマアッシング装置10を製造して動作させるために他の構成が必要なことは、当業者には理解されるであろう。しかしながら、そのような構成要素は周知であり、本発明の理解を助けるものでもないため、そのような構成要素の説明は省略する。
マイクロ波方式プラズマ生成部14は、マイクロ波エンクロージャ24を含む。マイクロ波エンクロージャ24は、長手方向に沿って複数の区画26、28、30に分割された長方形の箱であり、各区画には、それぞれプラズマ管が貫通している。各区画は、プラズマ管が貫通する開口部を有している。また、各区画には、動作の間にマイクロ波エネルギーが供給される。したがって、各区画は、入射マイクロ波のエネルギーに対して比較的短い空洞であるため、方位角方向および中心軸方向に均一性を有するモードの形成が促進される。プラズマ管は、空洞内において外管34によって囲われている。この外管は、プラズマ管から僅かに離れて配置され、これらの2つの管の間には、正圧の下に空気が供給されて、プラズマ管が効果的に供給される。外管34は、好ましくはサファイアからなる。石英、アルミナでコーティングされた石英、またはセラミックスのような、他のプラズマ管用材料を使用することもできる。好ましくは、マイクロ波エンクロージャ24の寸法は、矩形のTM110モードをサポートするように形成されており、エンクロージャ24は、正方形の断面を有するものでってもよい。断面の寸法は、TM110モードが共振するように設定される。各区画の長さは、λg/2よりも小さい(ここで、λgは、TE101モードの空洞のガイド長である)。
各区画26、28、30の、同心の管が貫通する開口部は、プラズマ管の外径寸法よりも大きく形成されている。マイクロ波構造体の開口側を覆う絞り板36も図示されており、この絞り板によって、マイクロ波のエネルギーを隣接する区画に効果的に供給するものである。絞り板36は、絞り38、40、42、44を有する平板状の金属板であり、これらの絞りを通って、マイクロ波のエネルギーが供給される。半径方向伝送路トラップを使用しているため、設計上、このような絞りを通るマイクロ波の伝送は限定されている。これらのトラップは、各区画の頂面および底面に対して一定の境界条件を保障するものである。外管を使用しない場合(他の方法で冷却を行う場合)、各区画の開口部は、プラズマ管と区画との間にスペースが存在し、それによってマイクロ波の伝送が可能であるように形成される。
両端部には、マイクロ波トラップ46、48が備えられ、マイクロ波の透過を防止するものである。このようなトラップは、特許文献1に開示されたようなトラップであってもよい。同心の管の間のスペースに冷却用空気を供給できるように、空気シール/指向性フィーダ50、52が備えられている。空気シール/指向性フィーダ54は、出口端部に示されており、第4のこのような装置も存在しているが、図には示されていない。
マグネトロン56は、カプラー58を通じてTE10モードを備える導波管に供給されるマイクロ波パワーを生成するものであり、互いに垂直な区画60、62を有している。導波管の区画62の長さは、移動自在なプランジャ64によって調整することができる。導波管の区画62の底板は、絞り板66であり、これによって、マクロ波エネルギーを、プラズマ管32が延在する区画化されたマイクロ波構造体24に結合するものである。これによって、プラズマ管を通じて流れる混合ガスにプラズマが励起される。
図3には、マイクロ波トラップ48に接するエンドキャップ70と、プラズマ管にガスを流入可能にするための中央開口部を有し、エンドキャップ内に延在する管継手74が示されている。ガス源22は、外部のフローボックス(図示せず)によって調整される。ガス精製器20は、ガス源22とガス入口ポート23(図1参照)に流体的に連通するように配置されている。プラズマ管32は、この端部で、エンドキャップ中の「O」リング72によって支持されている。外管34は、この端部で、マイクロ波トラップ46、48に対する橋台により支持されている。スペーサ76は、処理チャンバーに対して適切な空間を保持するために設けられている。プラズマ管の他端は、端部部材78中に配置されており、プラズマ/ガスを処理チャンバー16に放出するための開口部80を有している。任意選択で、開口部80を形成する導管を、狭い開口を有する継手に装着し、プラズマ管32内の圧力が大きくなるように、プラズマ管32と処理チャンバー16との間に差圧を形成するものであってもよい。動作中において、プラズマ管32内の圧力は、約133.3Pa(1トル)から大気圧程度までの範囲に設定されることが好ましい。これに対して、処理チャンバー内の圧力は、動作中において、約13.33Pa(100ミリトル)大気圧程度までの範囲に設定される。
プラズマ管32の開口部80は、処理チャンバー16の内部領域に流体的に連通している。プラズマは、(処理する基板の寸法と比べて)比較的狭い開口部から処理チャンバー内に放出されるため、処理チャンバー16内には、基板上へのプラズマ照射の均一性を改善するための配ガスシステム100が配置されている。配ガスシステム100は、基板とプラズマ管32の開口部80との間に介在するように配置されている。
好適な実施形態では、配ガスシステム100は、基板の表面へのプラズマの分配の均一性を改善するために、基板の上方に配置された1つ以上のバッフル板を含んでいる。バッフル板は、好ましくは、積み重ねられた複数のバッフル板を含んでおり、各バッフル板は、1つ以上の開口部を備えている。特に好適な形態では、プラズマ中の反応性化学種の反応性をより均一化するために、バッフル板アセンブリーが設けられる。背景技術の説明に記載したように、例えば、プラズマ中の水素ラジカルは、軸流反応路において中央部の衝突点からバッフル板の外縁部へと移動すると、アッシングの効率が低下する。本発明は、理論によって限定されるものではないが、これは、次の2つの作用の組合せにより、水素ラジカルがバッフル板の外縁部に流れると、その活動性が低下するためであると考えられる。第1に、上述したダウンストリーム型プラズマ装置のような軸流反応炉の設計では、フォトレジストアッシングの副産物、および、ウエハの中央部からの使用済みガスは、処理チャンバー16の排気導管170に到達するために、ウエハの縁部を通過しなければならない。この結果、活動性の水素ラジカルの汚染は、ウエハの中央部に比べてその縁部近辺で大きくなり、さらに、縁部に近いラジカルは、中央部から除去されたフォトレジストアッシングの副産物との反応により不活性化する機会が増大する。第2に、縁部への距離は、中央部への距離よりも大きいため、水素ラジカルが水素分子に再結合すること等によって、不活性化する可能性が増大する。アッシング速度の均一性の向上は、バッフル板の中心点からその外縁部に向けて、遠心的にバッフル板の密度を増大させることによって達成できることが分かった。例えば、中心点から外縁部に向けて開口部の密度を増大させるか、または、バッフル板の中心点から外縁部に向けて開口部の大きさを増大させるか、または、開口部のない中央領域を設けるか、または、以上のようなバッフル板構成の1つまたは複数の組合せによって、基板でのプラズマの均一性を改善し、その反応性を増大させることができる。
図5〜図8は、装置10に好適な配ガスシステムを示す図である。好適な実施形態では、配ガスシステム100は、二重化されたバッフル板アセンブリーである。図5は、配ガスシステムまたはバッフル板アセンブリー100の第1の実施形態が内部に組み込まれた処理チャンバー16を示す図である。バッフル板アセンブリー100が組み付けられたアッシング処理チャンバー16は、300mmウエハ用の処理システムとして好適なものである。配ガスシステム100は、本明細書に基づけば当業者にとって明らかであるように、200mmウエハ用として適用することも可能である。さらに、本明細書において、配ガスシステム100は、ダウンストリーム型プラズマアッシング装置内に実装されるものであるが、残留物除去、剥離、および、等方性エッチング装置等の他の半導体製造装置で使用することもできる。
バッフル板アセンブリー100は、開口部を有する上側バッフル板102と、開口部を有し、上側バッフル板よりも大きな下側バッフル板104からなり、上側バッフル板と下側バッフル板は、互いに離隔されかつ略平行に配置されている。バッフル板アセンブリー100は、処理するウエハ110が配置される空洞108を含む処理チャンバー106の下側部分に装着される。バッフル板102、104は、互いに平行に配置されていることに加えて、基板110に対しても平行となるように配置されている。
バッフル板アセンブリー100と処理チャンバーの上側部分106との間の接合面には、シール112が設けられ、シール112は、下側バッフル板104の溝114内に配置されている(図7参照)。ウエハは、ロードロック機構(図示せず)を介し、挿入/取出通路116を通じて処理チャンバーに対して出入する。処理チャンバーの下側部分106の下に配置された加熱機構(後述する)は、ウエハ110の下側を所望の処理温度にまで加熱する。
処理チャンバー16は、通常、加熱アセンブリー(下方)とプラズマ生成部14(上方)との間に介在するように、プラズマアッシング装置10内の孔118の位置に組み付けられる。動作中において、励起されたプラズマ(ガス)は、プラズマ管32(図3参照)の比較的狭い開口部から送出されて、バッフル板アセンブリー100に接触する。好適な実施形態では、プラズマ管32から流れる励起されたプラズマは、まず、ほぼ開口部のない上側バッフル板の中央領域に接触する。この開口部のない中央領域は、プラズマ管から送出されるガスの大きな軸方向速度を消去する機能を有しており、下側バッフル板104とチャンバーの蓋体との間に形成される前室の適切な動作を達成するために、ガス/プラズマの化学種を半径方向に加速するものである。次いで、プラズマは、上側バッフル板102の開口部120と下側バッフル板104の開口部122を通じて、処理チャンバーの空洞108に供給される。一実施形態では、下側バッフル板104は、入口126および出口128を介し、内部の冷却通路124を通じて流れる冷却媒体によって、能動的に冷却される。また、処理チャンバーの下側部分106の壁130も、入口134および出口136を介し、内部の冷却通路132を通じて流れる冷却媒体を使用して、能動的に冷却される。
下側バッフル板104は、図6および図7に明確に示すように、外側フランジ138と、開口部122を有する略平板状の部分140からなる。上側バッフル板102をスタンドオフ144によって下側バッフル板104に据え付けるために、下側バッフル板104に据え付け孔(図示せず)を設けてもよい。上側と下側のバッフル板間の距離は、バッフル板アセンブリー100を通じて流れるガス流のパターンを、部分的に定めるものである。300mm用のプラズマアッシャーでは、上側バッフル板102と下側バッフル板104との距離は、約6.125mm(0.25インチ)から約50.8mm(2インチ)の範囲内であることが好ましくは、より好ましくは、約12.75mm(0.5インチ)から約36.75mm(1.5インチ)の範囲内である。
図6は、300mm用のバッフル板アセンブリーの平面図であり、図5および図7は、この実施形態におけるバッフル板アセンブリー100の断面図である。これらの図に示されるように、バッフル板アセンブリー100は、下側バッフル板のフランジ138の据え付け孔146を介して、処理チャンバーの上側部分106に据え付けられている。下側バッフル板には、開口部122が設けられている。開口部を有する部分122の面積は、下方に存在するウエハ110を覆うために十分なものである(図5参照)。この実施形態では、下側バッフル板の中心点から外縁部へ向けて開口部122の大きさが増大している。開口部122の大きさを増大することによって、炭素含有低誘電率材料および/または水素含有低誘電率材料で使用するための、酸素および窒素を含有しないプラズマの均一性が向上する。
図8は、別の実施形態にしたがった下側バッフル板104の平面図である。ここでは、下側バッフル板104の中心点から外縁部へ移るにつれて、開口部122の大きさは同じまま、その密度が増大している。好ましくは、下側バッフル板は、石英(SiO2)、サファイアでコーティングされた石英、サファイア、セラミック、または陽極酸化アルミニウウムから形成されている。
上側バッフル板102の開口部120は、半径方向に、または、同心の多重円状のパターンに配置されている。上側バッフル板102は、サファイヤでコーティングされた融解シリカ、石英、サファイア、または、セラミック材料からなる。上側バッフル板102の開口部120は、好ましくは、下側バッフル板104の最大の開口部122よりも僅かに大きいものである。上側バッフル板102の中央部には、開口部のない領域が設けられており、サファイア、石英、またはセラミックからなる衝突板152を追加してもよい。上側バッフル板102の開口部のない中央領域は、衝突ディスク152の有無に関わらず、プラズマ管32から送出される励起ガスを、上側バッフル板102の残りの開口部を有する領域に向けて半径方向外向きに分散させるものである。これによって、ウエハ110の半径方向内側の部分が、過熱状態で処理されることを防止すると共に、それに比例して、ウエハの残りの部分より早い速度でアッシングされる。別の実施形態では、200mmウエハの処理に対して好適なように、上側バッフル板102の開口部を有さない領域を構成することができる。
基板110の加熱は、好ましくは、ウエハ110の下方に配置されたタングステンハロゲンランプ160のアレー(図1参照)によって達成される。プレート156(図5に示す処理チャンバーの底壁)は、可視光および/または赤外光に対して透明であり、チャンバー16とランプ160との間に配置されている。好ましくは、アッシングの間に、基板は、約80°Cから約350°Cに加熱される。より好ましくは、基板は、温度を段階的に上昇させることによって、段階的に加熱される。加熱によって、プラズマとフォトレジストおよび/またはエッチング後残留物との反応速度が増大し、その結果、スループットが増大する。基板に適用される熱量は、特定の低誘電率材料および他の層の熱安定性、および、すでに基板に形成されている部品に依存する。好適な実施形態では、基板の選択された領域に対して非均一な熱量を適用し、それによって、プラズマとフォトレジストとの反応を均一化するものである。この実施形態では、ランプアレー160は、制御装置(図示せず)に制御可能に接続されており、基板110を様々に加熱して、処理中のウエハの均一な加熱を促進するものである。平坦な加熱面を使用してウエハに接触させるかまたは近接させてウエハを加熱するといった、通常チャックと呼ばれる別の加熱方法を使用することもできる。
好ましくは、基板110に対して十分な強度と持続時間をもって熱を照射し、それによって、低誘電率層から揮発性の汚染物質を拡散させ、基板の他の部品または層を劣化させることなく、揮発させるものである。好ましくは、多孔性または非多孔性のドープ処理酸化物である炭素含有低誘電率材料の場合、ウエハを約20°Cから約400°Cに加熱し、さらに好ましくは、約100°Cから300°Cに加熱するものである。好ましくは、有機物の低誘電率材料の場合、ウエハを約80°Cから最大で約180°Cまで加熱する。有機物低誘電率材料の場合の最大温度は、使用する有機物低誘電率材料に固有の特性に依存し、当業者に周知の熱解析法により決定することができる。温度は、処理中に段階的に増大させるものであっても、または、加熱処理を通じて静的なままであってもよい。
さらに、処理チャンバー16は、その底板156の中央部に配置された排気開口部158を含んでいる。好ましくは、排気開口部158は、プラズマ管32と同軸である。
処理チャンバー16の動作圧は、好ましくは、約13.33Pa(100ミリトル)から約399.9Pa(3トル)であり、より好ましくは、約26.66Pa(200ミリトル)から約266.6Pa(2トル)であり、さらに好ましくは、約66.65Pa(500ミリトルから約199.95Pa(1.5トル)である。加えて、処理チャンバー16は、応用例に応じて追加的な特徴を備えるものであってもよい。例えば、石英のウィンドウを据え付け、また、ウエハに近接させてUV光源を配置するものであってもよい。このような非柱状光源は、UVエキシマレーザーと同様の波長を有するものであってもよく、それによって、バルク剥離におけるフォトレジスト除去が促進されることが示されており、したがって、マイクロ波プラズマにより発生した反応性ガスと共に使用することができる。さらに、剥離工程の事前および事後にフォトレジストを光源に曝露することによって、残留物の除去およびイオン注入による残留物の除去が有利になる。処理チャンバー16と共に、オーバーヘッド型のRF源、光学ポート、ガス分析器、追加の光源等を、それぞれ独立に、あるいは組み合わせて、使用することも可能であり、これによって、非常に柔軟な処理プラットフォームを提供することができる。
排気アセンブリー部18が処理チャンバー16に結合されている。排気アセンブリー部18は、処理チャンバー16の内部領域に流体的に連通する排気導管170を含んでいる。排気導管179の入口172は、処理チャンバー16の底板156中の開口部158に流体的に結合している。排気導管170は、好ましくは、入口179から出口174までほぼ直線状をなすものであり、これによって、高衝撃領域(例えば、導管中の鋭い曲げ部および曲線)、および、フォトレジスト材料およびプラズマアッシングの副産物が、鋭い曲げ部に集積されることを最小限に抑制するものである。好適な実施形態では、排気導管170は、石英から形成される。300mm用アッシング装置では、排気導管170(および開口部156)の最小の直径は、好ましくは、少なくとも約50.8mm(2インチ)である(200mm用プラズマアッシング装置の場合、約38.1mm(1.5インチ)以上の直径を有することが好ましい)。排気導管179を処理チャンバー16の中央部に配置することによって、プラズマ管から排気アセンブリー部への流れが単純化され、プラズマの均一性が向上する。
排気導管179の出口174は、好ましくは、真空システム176に連結され、排気導管179には、アフターバーナーアセンブリー178が動作可能に連通している。ガス入口ポート180およびガス源182は、排気導管170に流体的に連通し、アフターバーナーアセンブリー178の上流に配置されている。アフターバーナーアセンブリー178は、排気導管170内にプラズマ放電を生成し、それによって、処理チャンバー16から排出されるフォトレジスト材料および副産物を揮発させるために使用される。以下に詳述するように、ガス源180は、好ましくは、酸素のような非ハロゲンベースの酸化ガスである。この酸化ガスは、RFコイルの直上であって、かつ、処理チャンバー16の排気開口部158から離隔させて(すなわち、下流側)、アフターバーナーアセンブリーに導入することが好ましい。処理チャンバー16への酸素の導入は、上述したように低誘電率材料に有害な影響を及ぼす可能性があり、装置および処理方法は、これを回避するように設計される。
アフターバーナーアセンブリー178は、排気導管170の外側を取り巻くRFコイル183を含んでおり、排気導管179を通じて流れる混合ガスを誘導励起するものである。酸化ガスは、好ましくは、アフターバーナーアセンブリー178の上流の入口180から導入される。RFコイルは、同様のプラズマを生成すするためのマイクロ波源と置き換えることもできる。アフターバーナーアセンブリー178の下流には、スロットルバルブ184、フォアラインバルブ(図示せず)、真空ポンプ176、および他の真空処理ラインが配置されている。
RFコイル183は、好適なRF電源186に接続されている。電源の周波数は様々であり、通常、1kWよりも小さい条件で、400kHzから、好適な値である13.56MHzの範囲である。より好ましくは、300Wから500WのRFパワーを使用して、排気導管179内のプラズマが含有する酸素と誘導的に結合し、それによって、そこに含まれる有機材料を燃焼するものである。その結果、処理チャンバーの下流におけるフォトレジスト材料およびプラズマ副産物の堆積を、回避および/または除去することができる。
RF接続は、通常、RFマッチボックス188を通じて実施され、プラズマアッシング処理の開始時に、コイル183が励起される。酸素含有(O2)混合ガスが、結合されたRF電界内を通過することによって、有機材料を効率的かつ効果的に燃焼するプラズマが生成される。好ましくは、アフターバーナーアセンブリー178は、処理チャンバー16内での基板のプラズマアッシング処理中に、それと同時に動作するように構成される。
さらに、排気導管170には、光学検出システム190も含まれる。光学検出システム190は、プラズマとフォトレジストとの反応の反応物および副産物に該当する特定の波長範囲を有する信号の放出を光学的に検出するものである。この方法は、プラズマ中の反応物および副産物に特有の放射光の放射強度の変化を検出することに基づいている。励起された原子および分子は、電子が高エネルギー状態から低エネルギー状態に遷移する際に、光を放出する。異なる化学成分を有する原子および分子の放射光は、一連の固有のスペクトル線を形成する。プラズマ中の各化学成分の放射強度は、部分的にはプラズマ中の相対濃度に依存する。通常の放射光スペクトロスコピー装置は、反応性化学種、反応性化学種の副産物、およびフォトレジストの放射強度を測定するものである。例えば、副産物による放射強度は、次第に減少し、終了点に到達すると停止する。放射光スペクトロスコピー装置は、副産物の放射強度の減少を検知し、この終了点を決定する。有利なことに、アフターバーナーアセンブリー178の放電領域の下流側領域からの光信号は、プラズマが点火した時点を明確に指定するために使用できる。例えば、酸素ようのうな酸化剤は、排気導管170内でプラズマが点火すると消費され、燃焼生成物が発生する。例えば、一酸化炭素、二酸化炭素、水等の燃焼生成物は、酸素含有プラズマを用いたフォトレジストのアッシング処理の間に通常発生するものである。これらの化学種は、強い光信号を放出するため、酸素および窒素を含有しないプラズマ処理において、排気導管内プラズマの放電領域で発生する光信号を分析することによって、その終了点検出のための監視を容易に実施することができる。監視している化学種の信号が検知不能になった場合、終了点に到達したと見なすことができる。上述したように、酸素および窒素を含有しないプラズマを使用した処理は、炭素含有低誘電率材料および/または水素含有低誘電率材料を含む基板から、フォトレジストマスク等を除去するために望ましいものである。本発明における使用に好適な、酸素および窒素を含有しないプラズマの処理については、ウォルドフリード(Waldfried)等による係属中の米国特許出願第09/855,177号に開示されており、その開示内容は参考として本明細書に含まれる。本発明の処理方法および装置は、終了点の検出のための手段を提供するものであり、酸素および窒素を含有しないプラズマアッシング処理中に発生する化学種が光を放出しないことを鑑みれば、本発明の処理方法および装置によらなければ、処理チャンバー内で直接検出することが一般には困難である。
光学検出システム190は、排気導管に結合されている。排気導管179の外側に集光光学系192を配置することによって、通過する放射スペクトルを集光するものであってもよい。排気導管170は、好ましくは、石英またはサファイア等の光学的に透明な材料から形成されているため、光学ポートまたはウィンドウは不要である。光学的に透明でない材料を使用して排気導管を形成した場合には、排気導管に石英またはサファイアの光学ポートを設けてもよい。収集光学系192からの光りを受け取るために、スペクトロメーターまたはモノクロメーター(図1の194参照)が配置されている。放射光スペクトロスコピーおよびその方法は周知のものである。一実施形態では、放射光スペクトロスコピーは、CCD(電荷結合素子)ベースのスペクトロメーターまたはPDA(フォトダイオードアレー)ベースのスペクトロメーターにより、波長範囲を時系列的に記録し、放射光スペクトルを後の解析のためのアナログ信号に変換することによって、実施される。任意選択で、狭帯域フィルタを使用することにより、PMT(光電子増倍管)またはフォトダイオードのような光検出器上で特定の波長範囲の評価することが可能になる。スペクトロメーターは、アッシング処理中に放射された特定波長の光信号を、アナログ電気信号に変換し、このアナログ電気信号を、当業者にとって周知の方法を用いて解析することによって、所望の結果を得るものである。好ましくは、データは実時間で監視される。好ましくは、データは、プラズマ処理中に放射された所望の波長の光の強度の時間変化を示すグラフの形式で、示される。
別の方法として、他の光学検出器を使用することもできる。例えば、上述したように、モノクロメーターを使用してデータを収集することもできる。当業者にとって周知であるように、モノクロメーターを光電子増倍管およびフォトダイオード等と共に構成して、放出信号を記録することができる。
これらの光放射スペクロトスコピー装置およびプラズマ反応炉内で使用するための好適な構成は、本明細書に基づけば、当業者にとって明らかである。本発明での使用に好適なモノクロメーターの例は、ベリティ・コーポレーション(Verity Corporation)から、EP200MMDの型番で市販されているモノクロメータである。本発明での使用に好適な走査型モノクロメータの一例は、ベリティ・コーポレーション(Verity Corporation)から、EP200SMDの型番で市販されているものである。本発明での使用に好適なCCDベースのスペクトロメータの一例は、ベリティ・コーポレーション(Verity Corporation)から、SD1024の型番で市販されているものであり、また、オーシャン・オプティクス(Ocean Optics)から市販されているPC2000シリーズCCDスペクトロメーターである。本発明での使用に好適なフォトディテクターアレーは、ドイツ国のプレマ・カンパニー(Prema Company,German)からSPM9001の型番で市販されているものである。
図9および図10は、処理チャンバー16に結合されたロードロックチャンバーアセンブリー200を示す図である。ロードロックチャンバーアセンブリー200および処理チャンバー16は、相互接続されており、処理チャンバーとロードロックチャンバーアセンブリー200との間で、ウエハの交換を可能にするために、閉止可能な真空シール付きの開口部202が配置および構成されている。開口部202は、図示されるように、1枚のウエハが通過可能なように構成されている。別の方法として、開口部202を、2枚のウエハ、例えば、処理チャンバー内に存在する処理済みのウエハと処理チャンバー内に導入する未処理のウエハが、同時に通過可能なようなサイズに構成することもできる。ロードロックチャンバーアンセブリー200は、通常、取り外し自在なサブチャンバー204およびチャンバー206を含んでいる。サブチャンバー204は、それによって、チャンバー206の壁に取り外し自在に取り付けられており、好ましくは、キンナード(Kinnard)等による米国特許出願第09/905,031号に記載されている、デュアルエンドエフェクタによるウエハ移送機構を含むものであり、この内容は参考として本明細書に含まれる。デュアルエンドエフェクタによるウエハ移送機構は、チャンバー206とチャンバー16との間における開口部202を通じたウエハの出入を、ロボットにより実施するものである。関節を備えたアームは、ロードロックチャンバー206に装着された取り外し自在のサブチャンバー204に収容されているため、ロボットアームのセットアップおよび修復を容易に実施することができる。
デュアルエンドエフェクタによるウエハ移送機構は、アームが関節で連接されるピボット軸212を共有する、アッパーリンクアーム208とロワーリンクアーム210を含んでいる。アッパーリンクアーム208の末端部は、アッパー平行移動アーム214にピボット可能に連結されており、アッパー平行移動アームは、ウエハまたは基板104(図1参照)を保持するためのアッパーエンドエフェクター216を含んでいる。アーム208は、取り外し自在の2体からなるカバー230、232を有する縦長のハウジングを含んでいる。
同様に、ロワーリンクアーム210の末端部は、ロワーエンドエフェクター220を含むロワー平行移動アーム218にピボット可能に連結されている。また、アーム212も、取り外し自在の2体からなるカバー230、232を有する縦長のハウジングを含んでいる。デュアルエンドエフェクタ216、220を使用することによって、ロードロックチャンバー206には、処理チャンバー16とのウエハ交換動作の途中で、2枚のウエハを同時に収容させることが可能になり、これによって、スループットが向上する。ロードロックチャンバー206の中央部付近には、冷却プレート222が据え付けられており、必要な場合、X−Y平面における位置を手動で調整することができる。処理チャンバー16は、処理中にウエハ194を支持するための2つのウエハ支持ピン(ウエハピン)224、226を含んでいる。熱電対193は、ウエハへの付加的な支持体およびウエハの温度を測定するための手段を提供するものである。
有利なことに、ロードロックチャンバーは、基板を100°Cよりも低い温度に冷却する手段を備えている。さらに、上述したロードロックチャンバーは、基板を汚染する可能性があるウエハ間の通気を要しない。上述したように、酸素および窒素は、低誘電率材料に対して有害なものである。これらのガスとの接触を防止するかまたは最小限に抑制することは、低誘電率材料の有利な特性を維持するために重要である。
好ましくは、上述したプラズマ装置は、ドープ処理酸化物、多孔性材料、および有機低誘電率薄膜のような、低誘電率材料がその構造内に炭素および/または水素を含有している基板と共に使用される。炭素含有低誘電率材料は、炭素を含む側基を含んでいてもよく、または、誘電材料の主鎖が主として炭素の相互接続網からなる炭素ベースの化合物であってもよい。窒素および酸素を含有しないプラズマを使用した処理によれば、アッシングにおける高度な選択性を実現し、フォトレジスト、高分子、および、炭素および/または水素を含有する低誘電率材料からの残留物から発生する先行技術の問題点を克服することができる。さらに、この処理方法では、アッシング用プラズマ中の窒素によって発生する、後の金属充填問題が軽減される。
アッシング処理方法は、プラズマ混合ガスから反応性化学種を生成し、反応性化学種を基板に照射するステップを含む。プラズマ混合ガスの特定の成分は、プラズマ形成条件の下でガスおよびプラズマを形成する能力によって選択される。選択された混合ガスは、プラズマ形成条件の下で、反応性酸素種および反応性窒素種を発生させる成分を含まない。さらに好ましくは、混合ガスは、酸素含有成分および窒素含有成分を含まないものである。混合ガスは、水素および炭化水素のような水素を含有する複数の反応性ガスを含んでいる。混合ガスは、さらに、アルゴン、ヘリウム、ネオン等の不活性ガスを含むものであってもよい。混合ガスから生成されたプラズマは、主として、フォトレジスト、高分子、および残留物中の炭素および他の原子と反応し、基板の温度および圧力の条件の下で揮発する成分および/または洗浄によって除去可能な成分を形成する。この処理方法は、好ましくは、50:1を超える選択性を有するように最適化される。
この処理方法での使用に好適な水素含有ガスには、水素を含む化合物が含まれる。水素含有ガスは、炭化水素、水素ガス、または、それらの混合物が含まれる。好適な水素含有ガスは、プラズマ形成条件下で気体であり、また、プラズマ形成条件下で水素を解離して原子水素種および他の水素ラジカルなどの反応性水素種を形成するものである。炭化水素は、通常、置換されない。水素含有炭化水素ガスの例には、メタン、エタン、プロパンが含まれる。
好適な水素含有ガスは、水素含有ガスと希ガスの混合物である。この処理方法でのし様に好適な希ガスの例には、アルゴン、ネオン、ヘリウム等の周期律表のVIII族のガスが含まれる。先行技術の無酸素プラズマは、水素および窒素の混合ガスを含むフォーミングガス組成を使用するものであるが、本発明の処理方法において、窒素ガスの使用ははっきりと除外されるべきものである。その結果、以下では、フォーミングガスが水素ガスおよび窒素ガスの混合物を含むガスとして定義されているため、本発明の処理方法におけるフォーミングガスの使用は、はっきりと除外される。本発明での使用に特に好適なのは、水素およびヘリウムを含む混合ガスである。ヘリウムガスの原子は軽く、容易に基板上に拡散するため、プラズマ中に生成される反応性水素種のキャリヤ特性に優れている。
安全のために、混合ガスにおける水素ガスのパーセンテージは、混合ガスの体積の比率で5%を超えないように設定される。しかし、水素の量を増大させることは可能であり、フォトレジストの除去速度および選択性を向上させるために、好ましい場合もある。好ましくは、混合ガス中の水素量は、全体積の約1%から約99%である。さらに好ましくは、混合ガス中の水素量は、全体積の約10%から約30%である。
動作において、フォトレジストおよび/またはエッチング後残留物(および、炭素含有低誘電率材料)を有する半導体ウエハ110は、処理チャンバー16のウエハ支持ピン上に配置される。ウエハ110は、好ましくは、フォトレジストおよび/またはエッチング後残留物とプラズマとの反応性を可能性するために、赤外線ランプ160によって加熱される。次いで、処理チャンバー16内の圧力は、低減される。好ましくは、この圧力は、約133.3Pa(1トル)から約666.5Pa(5トル)の間に維持される。励起可能な、酸素および窒素を含有しない混合ガスがガス精製器に供給され、次いで、ガス入口ポート24を通じて、プラズマ生成部14のプラズマ管32に供給される。プラズマ生成部14の各区画26、28、30にマイクロ波エネルギーが供給されて、プラズマ管32内に、電気的に中性の粒子と荷電粒子からなるプラズマが励起される。荷電粒子は、好ましくは、プラズマが処理チャンバー16に流入する前に選択的に除去される。ガスの励起原子は、処理チャンバーに供給され、ウエハ全体に均一に分配されて、フォトレジストおよび/またはエッチング後残留物と反応し、フォトレジスト材料は除去され、また、揮発性の副産物が生成される。フォトレジスト材料および揮発性の副産物は、ウエハ表面から、中央部に配置された排気導管170に連続的に排出される。
プラズマアッシング処理と同時に、酸素のような非ハロゲン酸化ガスが、処理チャンバー16の下流の排気導管に供給される。処理チャンバー16には酸素は進入しない。アフターバーナーアセンブリー178が励起されて、排気導管内に高密度プラズマが生成される。排気導管170には、CCDベースのスペクトロメーターが配置されており、このCCDスペクトロメーターは、フォトレジスト材料および揮発性の副産物が存在する場合には、それらに該当する光信号を含む放射光スペクトルを時系列的に記録する。監視する放射光スペクトル中の波長範囲は、使用するCCDスペクトロメーターの種類および、CCDへの到達光から特定の波長の放射光をカットするフィルタの存在により、決定される。CCDスペクトロメーターは、背景放射光と、アッシング処理中に放出された化学種からの放射光とを同時に記録する。周知の標準的なアルゴリズムを使用して、プラズマとフォトレジストおよび/または副産物との反応の結果として放出される放射光から、背景放射光を減算することができる。放出ピークに強度値の変化が記録され、終了点アルゴリズムにより設定した条件に適合したならば、フォトレジストおよび/または残留物の除去は終了し、制御装置に信号を送出して、プラズマがターンオフされる。次いで、真空が解除され、処理済みのウエハが処理チャンバーから取り出される。任意選択で、剥離されたウエハ上に残存する残留物を除去するために、洗浄が施される。
モノクロメーターを備えたプラズマアッシャーでは、まず、コーティングされていないブランクウエハを処理チャンバー16内で曝露し、所望の波長における第1の放出信号が測定される。第1の放出信号は、上述した背景放射光を表すものである。次に、フォトレジストおよび/またはエッチング後残留物(および、炭素含有低誘電率材料)を有する基板が、処理チャンバー内でプラズマに曝露される。所望の波長で放出された第2の放出信号が、排気導管内でモノクロメーターによって記録される。第1の放出信号の背景放射光が、第2の放出信号から減算される。所望の波長における第2の放出信号が安定状態に到達し、第1の信号ど同等またはそれ以下のレベルになると、アッシングの終了点に到達し、制御装置に信号を送出して、プラズマがターンオフされる。次いで、真空が解除され、処理済みのウエハが処理チャンバーから取り出される。任意選択で、剥離されたウエハ上に残存する残留物を除去するために、洗浄が施される。
他のモノクロメーター、スペクトロメーター等の構成と、処理チャンバーから排出されたプラズマ副産物を監視するためのそれらの動作は、本明細書に基づけば、当業者にとって明らかである。好ましくは、排気導管内で、約283nm、約309nm、約387nm、約431nm、約434nm、約468nm、約472nm、約513nm、約516nm、約656nm、約777nm、約841nm(±約5〜10nm)の波長の放出信号が監視される。これらの放出信号は、フォトレジスト成分、酸素および窒素を含有しない上流のプラズマ、および、下流のアフターバーナーのプラズマの混合によって形成された、フォトレジスト材料およびプラズマ副産物のスペクトルのピーク波長を示すものである。このようにして、酸素および窒素を含まないプラズマを使用して、炭素含有低誘電率材料を含む基板から、フォトレジスト材料およびエッチング後残留物を除去し、一方、排気導管内に生成される第2の、好ましくは酸化、プラズマによって、酸素および窒素を含有しないプラズマのアッシング終了点が決定される。
特に明示されていない限り、様々な構成要素12、14、16、18を形成するための材料には、金属、セラミックス、ガラス、高分子、複合材料、およびそれらの材料の少なくとも1つを含む組合せが含まれる。例えば、好適な金属には、陽極酸化アルミニウム、および/または、ステンレス鋼が含まれる。好適なセラミック材料には、シリコンカーバイド、または、酸化アルミニウムが含まれる。
次の例は、例示のためのものであり、本発明の範囲を限定するものではない。
(例1)
この例では、アクセリス・テクノロジーズ・コーポレーション(Axcelis Technologies Corporation)から市販されているFusion ES3ダウンストリーム型マイクロ波プラズマアッシャーにおいて、異なる二重化バッフル板構成を使用して、プラズマの均一性を調査した。複数の300mmシリコンウエハ上に、同様の条件で、AZ1505フォトレジストを0.75μm塗布し、ヘリウム中に4%水素が含まれるプラズマを、表1に示す温度および146.63Pa(1.1トル)の圧力下で照射した。AZ1505フォトレジストは、ヘキスト・コーポレーション(Hoechst Corporation)から市販されている。上側バッフル板は、各構成において同一であった。統制群の下側バッフル板は、330.2mm(13インチ)の円状領域に420個の開口部が均一に配置されたものを使用した。各開口部の直径は、2.8702mm(0.113インチ)であった。統制群のプラズマの均一性を、本発明の教示に従った2つの異なる構成と比較した。構成1は、381mm(15インチ)の円状領域全体に均一に配置された570個の開口部を有するものである。中心から半径127mm(5インチ)以内の開口部は、直径2.8702mm(0.113インチ)であった。中心から半径127mm(5インチ)範囲外の開口部は、直径3.5814mm(0.141インチ)であった。構成2は、中心点から外縁部へ向けて密度が増大する420個の開口部を有するものであった。開口部の直径は、2.8702mm(0.113インチ)であった。すべての場合において、上側バッフル板と下側バッフル板の距離は、1.27mm(0.5インチ)であり、基板と下側バッフル板との距離は、0.5インチであった。プラズマの照射時間は、フォトレジストのおよそ半分の厚みを除去するように選択した。非均一性は、基板全体に渡る49点の厚みを、従来の方法を使用して決定することにより、測定された。結果は、表1に、49点の標準偏差を平均値で除算した値が、パーセンテージとして示されている。
Figure 0004780411
この結果は、プラズマアッシングの均一性は、下側バッフル板の中心点から外縁部に向けて、開口部の密度を増大させることによって改善され、また、開口部の大きさを増大することによって、さらに改善されることを示している。
これまでの記載を考慮すれば、本発明についての多くの修正および変形は、当業者にとって明らかであろう。したがって、本発明は、添付された請求項の範囲内において、これまで詳細に図示および記載した形態とは異なる形態で実施できることを理解されたい。
図1は、ダウンストリーム型プラズマアッシング装置の断面図である。 図2は、プラズマアッシング装置で使用するマイクロ波エンクロージャの透視図である。 図3は、ダウンストリーム型プラズマアッシング装置での使用に好適なプラズマ生成部を概念的に示す断面図である。 図4は、プラズマアッシング装置の透視図である。 図5は、配ガスシステムが組み付けられたフォトレジストアッシング処理チャンバーを、部分的に切り取って示す透視図である。 図6は、一実施形態に従った配ガスシステムの平面図である。 図7は、図6のバッフル板アセンブリーの、線7−7に沿った断面図である。 図8は、別の実施形態に従った配ガスシステムの平面図である。 図9は、ダウンストリーム型プラズマアッシング装置のための、ロードロックチャンバーアセンブリーおよび処理チャンバーの透視図である。 図10は、図9のロードロックチャンバーアセンブリーおよび処理チャンバーの上面図である。

Claims (37)

  1. 低誘電率材料を含む基板を処理するための軸流ダウンストリーム型プラズマ処理装置であって、
    窒素および酸素を実質的に含有しないガス源と、
    該ガス源に流体的に連通すると共に、プラズマ管および該プラズマ管に結合されて前記ガス源から前記プラズマ管内にプラズマを生成するためのプラズマ生成装置を含むプラズマ生成部と、
    前記ガス源と前記プラズマ生成部との間に介在するガス精製器と、
    前記プラズマ管に流体的に連通すると共に、入口付近にバッフル板アセンブリーを含み、該バッフル板アセンブリーは、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含んでおり、前記下側バッフル板は、中心軸回りに配置された複数の開口部を含むと共に、該複数の開口部それぞれの寸法は、前記下側バッフル板の中心軸から外縁部へと増大し、かつ、前記バッフル板アセンブリーは、前記基板に対して略平行に配置されている処理チャンバーと、
    該処理チャンバーの底壁の中央部に配置された排気導管と、を含むことを特徴とする軸流ダウンストリーム型プラズマ処理装置。
  2. 前記基板の下方に配置され、前記処理チャンバーの底板に光学的に結合する少なくとも1つの加熱ランプをさらに含み、前記底板は、可視光および/または赤外光に対して実質的に透明な材料から形成されていることを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  3. 前記排気導管に結合されたアフターバーナーアセンブリーをさらに含み、前記排気導管は、前記処理チャンバーと前記アフターバーナーアセンブリーとの間に介在するガスポートを含むことを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  4. 前記アフターバーナーアセンブリーは、前記排気導管内にプラズマを生成する手段を含むことを特徴とする請求項3に記載の軸流ダウンストリーム型プラズマ処理装置。
  5. 前記アフターバーナーアセンブリーは、前記排気導管の外側を取り巻くRFコイルと、該RFコイルに電気的に接続されたマッチボックスと、該マッチボックスに電気的に接続された電源とを含むことを特徴とする請求項4に記載の軸流ダウンストリーム型プラズマ処理装置。
  6. 前記排気導管に結合された光学検出システムをさらに含むことを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  7. 前記プラズマ生成部は、複数の区画に分割されて該区画のそれぞれが前記プラズマ管を受け入れるための開口部を有するマイクロ波エンクロージャと、マイクロ波パワーを所定の周波数で前記区画に供給する手段とを含むことを特長とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  8. 前記プラズマ管に流体的に連通する第2のガス源をさらに含み、該第2のガス源は、前記処理チャンバーのその場洗浄のための酸化ガスを含むことを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  9. 前記プラズマ管は、前記上側バッフル板の直径よりも小さな開口径を有することを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  10. 前記上側バッフル板は、開口部のない中央領域を含むことを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  11. 前記上側バッフル板は、前記下側バッフル板よりも小さな直径を有しており、前記上側バッフル板と前記処理チャンバーの上壁とが、それらの間に前室を形成していることを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  12. 前記処理チャンバーに結合されたロードロックチャンバーと、該ロードロックチャンバーに結合されたサブチャンバーとをさらに含み、該サブチャンバーは、該サブチャンバー内に主ピボット軸を有する少なくとも1つのロボットアームをさらに含むことを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  13. ロードロックチャンバーと、該ロードロックチャンバーの中央部付近に配置された冷却プレートとをさらに含むことを特徴とする請求項1に記載の軸流ダウンストリーム型プラズマ処理装置。
  14. 低誘電率材料を含む基板を処理するための軸流ダウンストリーム型プラズマ処理装置であって、
    窒素および酸素を実質的に含有しないガス源と、
    該ガス源に流体的に連通すると共に、プラズマ管および該プラズマ管に結合されて前記ガス源から前記プラズマ管内にプラズマを生成するためのプラズマ生成装置を含むプラズマ生成部と、
    前記ガス源と前記プラズマ生成部との間に介在するガス精製器と
    前記プラズマ管に流体的に連通すると共に、入口付近にバッフル板アセンブリーを含み、該バッフル板アセンブリーは、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含んでおり、前記下側バッフル板は、中心軸回りに配置された複数の開口部を含むと共に、該複数の開口部は、前記下側バッフル板の中心軸から外縁部へとその密度が増大する処理チャンバーと、
    該処理チャンバーの底壁の中央部に配置された排気導管と、を含むことを特徴とする軸流ダウンストリーム型プラズマ処理装置。
  15. 前記基板の下方に配置され、前記処理チャンバーの底板に光学的に結合する少なくとも1つの加熱ランプをさらに含み、前記底板は、可視光および/または赤外光に対して実質的に透明な材料から形成されていることを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  16. 前記プラズマ管は、前記上側バッフル板の直径よりも小さな開口径を有することを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  17. 前記排気導管に結合されたアフターバーナーアセンブリーをさらに含み、前記排気導管は、前記処理チャンバーと前記アフターバーナーアセンブリーとの間に介在するガスポートを含むことを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  18. 前記アフターバーナーアセンブリーは、前記排気導管内にプラズマを生成する手段を含むことを特徴とする請求項17に記載の軸流ダウンストリーム型プラズマ処理装置。
  19. 前記アフターバーナーアセンブリーは、前記排気導管の外側を取り巻くRFコイルと、該RFコイルに電気的に接続されたマッチボックスと、該マッチボックスに電気的に接続された電源とを含むことを特徴とする請求項17に記載の軸流ダウンストリーム型プラズマ処理装置。
  20. 前記排気導管に結合された光学検出システムをさらに含むことを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  21. 前記プラズマ生成部は、複数の区画に分割されて該区画のそれぞれが前記プラズマ管を受け入れるための開口部を有するマイクロ波エンクロージャと、マイクロ波パワーを所定の周波数で前記区画に供給する手段とを含むことを特長とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  22. 前記プラズマ管に流体的に連通する第2のガス源をさらに含み、該第2のガス源は、前記処理チャンバーのその場洗浄のための酸化ガスを含むことを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  23. 前記処理チャンバーに結合されたロードロックチャンバーと、該ロードロックチャンバーに結合されたサブチャンバーとをさらに含み、該サブチャンバーは、該サブチャンバー内に主ピボット軸を有する少なくとも1つのロボットアームをさらに含むことを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  24. ロードロックチャンバーと、該ロードロックチャンバーの中央部付近に配置された冷却プレートとをさらに含むことを特徴とする請求項14に記載の軸流ダウンストリーム型プラズマ処理装置。
  25. 炭素および/または水素を含有する低誘電率層を含む基板を処理するための軸流ダウンストリーム型プラズマ処理装置であって、
    窒素および酸素を実質的に含有しないガスのガス源と、
    該ガス源に流体的に連通し、前記窒素および酸素を実質的に含有しないガスから、窒素含有化学種および酸素含有化学種を削減するガス精製器と、
    該ガス清浄器に流体的に連通すると共に、プラズマ管および該プラズマ管に結合されて、精製された窒素および酸素を含有しないガスから前記プラズマ管内にプラズマを生成するためのプラズマ生成装置を含むプラズマ生成部と、
    前記プラズマ管に流体的に連通し、入口付近にバッフル板アセンブリーを含む処理チャンバーと、
    該処理チャンバーの底壁の中央部に配置され、酸化ガス源に流体的に連通するガスポートを含む排気導管と、
    該排気導管に流体的に連通し、前記排気導管内に酸化プラズマを生成するアフターバーナーアセンブリーと、
    前記排気導管に結合され、前記酸化プラズマにより形成されるプラズマ放電領域に焦点を有する集光光学系を含む光学検出システムと、を含むことを特徴とする軸流ダウンストリーム型プラズマ処理装置。
  26. 前記排気導管は、前記光学検出システムによって監視される波長に対して透明な材料から形成されることを特徴とする請求項25に記載の軸流ダウンストリーム型プラズマ処理装置。
  27. 前記プラズマ生成部は、複数の区画に分割されて該区画のそれぞれが前記プラズマ管を受け入れるための開口部を有するマイクロ波エンクロージャと、マイクロ波パワーを所定の周波数で前記区画に供給する手段とを含むことを特長とする請求項25に記載の軸流ダウンストリーム型プラズマ処理装置。
  28. 前記アフターバーナーアセンブリーは、前記排気導管の外側を取り巻くRFコイルと、該RFコイルに電気的に接続されたマッチボックスと、該マッチボックスに電気的に接続された電源とを含むことを特徴とする請求項25に記載の軸流ダウンストリーム型プラズマ処理装置。
  29. 前記窒素および酸素を実質的に含有しないガスは、炭化水素ガス、水素またはヘリウム、あるいは、これらのガスの少なくとも1つを含む組合せを含むことを特徴とする請求項25に記載の軸流ダウンストリーム型プラズマ処理装置。
  30. 前記光学検出システムは、スペクトロメータまたはモノクロメータを含むことを特徴とする請求項25に記載の軸流ダウンストリーム型プラズマ処理装置。
  31. 前記基板の下方に配置され、前記処理チャンバーの底板に光学的に結合する加熱ランプアレーをさらに含み、前記底板は、可視光および/または赤外光に対して実質的に透明な材料から形成されていることを特徴とする請求項25に記載の軸流ダウンストリーム型プラズマ処理装置。
  32. フォトレジスト材料またはエッチング後残留物を、炭素および/または水素を含有する低誘電率層を備えた基板から除去するためのプラズマアッシング処理方法であって、
    酸素および窒素を実質的に含有しないガスをガス精製器に流入させ、汚染レベルが低減された精製ガスを形成するステップと、
    前記精製ガスからプラズマを生成するステップと、
    前記プラズマを受け入れると共に、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含み、前記下側バッフル板は、中心軸回りに配置された複数の開口部を含むと共に、該複数の開口部は、前記下側バッフル板の中心軸から外縁部へとその密度が増大するバッフル板アセンブリーを含む処理チャンバーに、前記プラズマを導入するステップと、
    前記プラズマを、前記バッフル板アセンブリーを通じて流動させ、前記基板に照射して前記フォトレジスト材料、前記エッチング後残留物、および揮発性副産物を前記基板から除去するステップと、
    除去された前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物を、前記処理チャンバーの中央部に配置された排気導管へ排出するステップと、
    前記排気導管内に酸化ガスを選択的に導入するステップと、
    前記酸化ガス、および、前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物からプラズマを形成するステップと、
    前記排気導管内プラズマに発生する放出信号を光学的に監視するステップと、
    前記放出信号の変化の観察から、前記フォトレジストおよび前記エッチング後残留物の終了点を検出するステップと、を含むことを特徴とするプラズマアッシング処理方法。
  33. 前記酸素および窒素を実質的に含有しないガスは、水素、またはヘリウム、またはアルゴン、またはネオン、あるいは、これらのガスの少なくとも1つを含む組合せを含むことを特徴とする請求項32に記載のプラズマアッシング処理方法。
  34. 前記酸素および窒素を実質的に含有しないガスをガス精製器に流入させ、汚染レベルが低減された精製ガスを形成するステップは、前記酸素および窒素を実質的に含有しないガス中のHO、O、CO、CO、および、Nを、10ppmよりも少ない量にまで削減することを含んでいる請求項32に記載のプラズマアッシング処理方法。
  35. 前記基板を前記処理チャンバーからロードロックチャンバーへ移動させ、前記基板を冷却するステップをさらに含むことを特徴とする請求項32に記載のプラズマアッシング処理方法。
  36. フォトレジスト材料またはエッチング後残留物を、炭素および/または水素を含有する低誘電率層を備えた基板から除去するためのプラズマアッシング処理方法であって、
    酸素および窒素を実質的に含有しないガスをガス精製器に流入させ、汚染レベルが低減された精製ガスを形成するステップと、
    前記精製ガスからプラズマを生成するステップと、
    前記プラズマを受け入れると共に、略平板状の下側バッフル板および該下側バッフル板の上方に固定された略平板状の上側バッフル板を含み、前記下側バッフル板は、中心軸回りに配置された複数の開口部を含むと共に、該複数の開口部それぞれの寸法は、前記下側バッフル板の中心軸から外縁部へと増大するバッフル板アセンブリーを含む処理チャンバーに、前記プラズマを導入するステップと、
    前記プラズマを、前記バッフル板アセンブリーを通じて流動させ、前記基板に照射して前記フォトレジスト材料、前記エッチング後残留物、および揮発性副産物を前記基板から除去するステップと、
    除去された前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物を、前記処理チャンバーの中央部に配置された排気導管へ排出するステップと、
    前記排気導管内に酸化ガスを選択的に導入するステップと、
    前記酸化ガス、および、前記フォトレジスト材料、前記エッチング後残留物、および前記揮発性副産物からプラズマを形成するステップと、
    前記排気導管内プラズマに発生する放出信号を光学的に監視するステップと、
    前記放出信号の変化の観察から、前記フォトレジストおよび前記エッチング後残留物の終了点を検出するステップと、を含むことを特徴とするプラズマアッシング処理方法。
  37. 前記酸素および窒素を実質的に含有しないガスは、水素、またはヘリウム、またはアルゴン、またはネオン、あるいは、これらのガスの少なくとも1つを含む組合せを含むことを特徴とする請求項36に記載のプラズマアッシング処理方法。
JP2006533307A 2003-05-22 2004-05-21 プラズマ処理装置および処理方法 Expired - Fee Related JP4780411B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/249,962 US8580076B2 (en) 2003-05-22 2003-05-22 Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US10/249,962 2003-05-22
PCT/US2004/016076 WO2004107414A2 (en) 2003-05-22 2004-05-21 Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Publications (2)

Publication Number Publication Date
JP2007501535A JP2007501535A (ja) 2007-01-25
JP4780411B2 true JP4780411B2 (ja) 2011-09-28

Family

ID=33449401

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006533307A Expired - Fee Related JP4780411B2 (ja) 2003-05-22 2004-05-21 プラズマ処理装置および処理方法

Country Status (8)

Country Link
US (1) US8580076B2 (ja)
EP (2) EP1625605B1 (ja)
JP (1) JP4780411B2 (ja)
KR (1) KR101127714B1 (ja)
CN (1) CN1795530B (ja)
DE (1) DE602004032225D1 (ja)
TW (1) TWI273655B (ja)
WO (1) WO2004107414A2 (ja)

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
WO2007067177A1 (en) * 2005-12-07 2007-06-14 Axcelis Technologies, Inc. Medium pressure plasma system for removal of surface layers without substrate loss
CN100376723C (zh) * 2005-12-08 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 增进流场均匀性的屏蔽板
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
KR100796980B1 (ko) * 2007-01-17 2008-01-22 피에스케이 주식회사 기판 처리 장치 및 방법
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4952375B2 (ja) 2007-05-23 2012-06-13 株式会社明電舎 レジスト除去方法及びその装置
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
KR20100065321A (ko) * 2007-08-07 2010-06-16 피포탈 시스템즈 코포레이션 가스의 화학적 조성을 확인하는 방법 및 장치
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
JP5454467B2 (ja) 2008-02-27 2014-03-26 東京エレクトロン株式会社 プラズマエッチング処理装置およびプラズマエッチング処理方法
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8910590B2 (en) * 2009-02-13 2014-12-16 Gallium Enterprises Pty Ltd. Plasma deposition
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
GB2492849A (en) * 2010-07-29 2013-01-16 Gen Electric A high pressure drop muffling system
US8307943B2 (en) 2010-07-29 2012-11-13 General Electric Company High pressure drop muffling system
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
KR101201697B1 (ko) * 2010-09-20 2012-11-15 에스엔유 프리시젼 주식회사 모노머 냉각트랩 및 이를 이용하는 모노머 증착장치
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
JP5256328B2 (ja) * 2010-10-28 2013-08-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
TWI563552B (en) * 2011-04-28 2016-12-21 Lam Res Corp Substantially non-oxidizing plasma treatment devices and processes
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN103031543B (zh) * 2011-09-30 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种上电极及应用该上电极的等离子体加工设备
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8430202B1 (en) 2011-12-28 2013-04-30 General Electric Company Compact high-pressure exhaust muffling devices
US9057388B2 (en) * 2012-03-21 2015-06-16 International Business Machines Corporation Vacuum trap
CN103367510A (zh) * 2012-03-30 2013-10-23 生阳新材料科技有限公司 冷却板
US8511096B1 (en) 2012-04-17 2013-08-20 General Electric Company High bleed flow muffling system
US9399951B2 (en) 2012-04-17 2016-07-26 General Electric Company Modular louver system
US8550208B1 (en) 2012-04-23 2013-10-08 General Electric Company High pressure muffling devices
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9548227B2 (en) * 2013-10-30 2017-01-17 Nisene Technology Group Microwave induced plasma decapsulation using a dielectric plasma discharge tube
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9666415B2 (en) * 2015-02-11 2017-05-30 Ford Global Technologies, Llc Heated air plasma treatment
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
CN108780736B (zh) * 2016-01-26 2023-05-02 周星工程股份有限公司 基板处理设备
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10435787B2 (en) * 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107460116A (zh) * 2017-08-31 2017-12-12 同济大学苏州研究院 一种用于精子优选的精子上游装置
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
US11515147B2 (en) 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
CN115666005B (zh) * 2022-12-15 2023-02-24 赛福仪器承德有限公司 等离子体蚀刻机

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH0631104A (ja) * 1992-07-21 1994-02-08 Toshiba Corp 高純度ガス精製方法
JPH07106217A (ja) * 1993-09-10 1995-04-21 Fusion Syst Corp 触媒反応器を有するレジスト酸化装置
JP2001110775A (ja) * 1999-08-05 2001-04-20 Axcelis Technologies Inc フォトレジスト/エッチング後の残留物を取り除くためのプラズマストリッピング処理方法
JP2001203194A (ja) * 1999-09-02 2001-07-27 Applied Materials Inc 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
JP2002033311A (ja) * 2000-04-26 2002-01-31 Axcelis Technologies Inc プラズマ処理装置及びガス分散プレート
JP2002057146A (ja) * 2000-04-26 2002-02-22 Axcelis Technologies Inc プラズマ処理室及びバッフル板アセンブリ
JP2002184758A (ja) * 2000-12-13 2002-06-28 Seiko Epson Corp ドライエッチング装置
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
JP2004535674A (ja) * 2001-07-13 2004-11-25 アクセリス テクノロジーズ インコーポレーテッド ウエハ移送装置

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US32300A (en) * 1861-05-14 Denis lenain
US12624A (en) * 1855-04-03 Island
US3141739A (en) * 1959-05-14 1964-07-21 Nuclear Technical Services Cor Gas purification
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
DE3248670A1 (de) * 1982-12-30 1984-07-05 Hoechst Ag, 6230 Frankfurt Polyestermehrschichtfolie mit thermoplastisch praegbarer innenschicht
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
JPS62294180A (ja) 1986-06-13 1987-12-21 Canon Inc プラズマcvd法による堆積膜形成装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5498308A (en) 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
JP2872637B2 (ja) * 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
WO1997003745A1 (en) * 1995-07-21 1997-02-06 Ultrapure Systems, Inc. Single gas purifier vessel and heat exchanger
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5928426A (en) 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US6082374A (en) 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6190507B1 (en) 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6422002B1 (en) * 1999-07-23 2002-07-23 The United States Of America As Represented By The United States Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
WO2001072377A2 (en) * 2000-03-24 2001-10-04 Applied Materials, Inc. Treatment of hazardous gases in effluent
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
WO2001082368A2 (en) * 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6538734B2 (en) * 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH0631104A (ja) * 1992-07-21 1994-02-08 Toshiba Corp 高純度ガス精製方法
JPH07106217A (ja) * 1993-09-10 1995-04-21 Fusion Syst Corp 触媒反応器を有するレジスト酸化装置
JP2001110775A (ja) * 1999-08-05 2001-04-20 Axcelis Technologies Inc フォトレジスト/エッチング後の残留物を取り除くためのプラズマストリッピング処理方法
JP2001203194A (ja) * 1999-09-02 2001-07-27 Applied Materials Inc 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
JP2002033311A (ja) * 2000-04-26 2002-01-31 Axcelis Technologies Inc プラズマ処理装置及びガス分散プレート
JP2002057146A (ja) * 2000-04-26 2002-02-22 Axcelis Technologies Inc プラズマ処理室及びバッフル板アセンブリ
JP2002184758A (ja) * 2000-12-13 2002-06-28 Seiko Epson Corp ドライエッチング装置
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
JP2004535674A (ja) * 2001-07-13 2004-11-25 アクセリス テクノロジーズ インコーポレーテッド ウエハ移送装置

Also Published As

Publication number Publication date
CN1795530A (zh) 2006-06-28
US20040238123A1 (en) 2004-12-02
US8580076B2 (en) 2013-11-12
TWI273655B (en) 2007-02-11
EP1625605A2 (en) 2006-02-15
KR20060003121A (ko) 2006-01-09
EP1625605B1 (en) 2011-04-13
JP2007501535A (ja) 2007-01-25
TW200509246A (en) 2005-03-01
WO2004107414A3 (en) 2005-08-11
KR101127714B1 (ko) 2012-03-23
EP2278608A3 (en) 2011-02-23
CN1795530B (zh) 2010-12-01
EP2278608A2 (en) 2011-01-26
DE602004032225D1 (de) 2011-05-26
EP2278608B1 (en) 2012-06-20
WO2004107414A2 (en) 2004-12-09

Similar Documents

Publication Publication Date Title
JP4780411B2 (ja) プラズマ処理装置および処理方法
US8268181B2 (en) Plasma ashing apparatus and endpoint detection process
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
US20130248113A1 (en) Substantially non-oxidizing plasma treatment devices and processes
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
EP1098189B1 (en) Method for detecting an end point for an oxygen free plasma process
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
KR101170861B1 (ko) 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
KR101392646B1 (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
KR101233059B1 (ko) 유전 물질을 처리하는 장치 및 프로세스
WO2012148370A1 (en) Substantially non-oxidizing plasma treatment devices and processes
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100616

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100914

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100922

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101014

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101115

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110622

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140715

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4780411

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees