CN1795530B - 等离子体装置,用于等离子体装置的气体分布组件及其方法 - Google Patents

等离子体装置,用于等离子体装置的气体分布组件及其方法 Download PDF

Info

Publication number
CN1795530B
CN1795530B CN2004800140618A CN200480014061A CN1795530B CN 1795530 B CN1795530 B CN 1795530B CN 2004800140618 A CN2004800140618 A CN 2004800140618A CN 200480014061 A CN200480014061 A CN 200480014061A CN 1795530 B CN1795530 B CN 1795530B
Authority
CN
China
Prior art keywords
plasma
baffle plate
overhead gage
gas
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2004800140618A
Other languages
English (en)
Other versions
CN1795530A (zh
Inventor
A·贝克尼尔
T·巴克利
D·费利斯
R·小皮利
P·沙克希威尔
A·史瑞伐斯塔伐
C·华德费德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1795530A publication Critical patent/CN1795530A/zh
Application granted granted Critical
Publication of CN1795530B publication Critical patent/CN1795530B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种等离子体装置、等离子体装置的各种构件以及无氧且无氮的方法,用于自含有碳及/或氢的低k介电层的基板有效移除光致抗蚀剂材料以及蚀刻后的残留物。

Description

等离子体装置,用于等离子体装置的气体分布组件及其方法
技术领域
本发明涉及半导体装置与方法,且尤其涉及等离子体为媒介的(mediated)方法与等离子体装置,适用于自包括低k介电材料的基板灰化有机材料。
背景技术
近来,诸多的注意力已经针对发展用于下一代的微电子元件的低k介电薄膜。由于集成电路变得更小,沿着互连件的信号传播的RC延迟时间成为限制整体晶片速度的支配因素的一。随着铜技术的进展,R已接近至其实际最低的极限,故注意力必须针对降低C。达成此任务的一个方式为降低环绕互连件的绝缘薄膜的平均介电常数(k)。传统的二氧化硅绝缘材料的介电常数(k)约为3.9。降低介电常数(k)为低于3.9将提供降低的电容,且将改善整体的晶片速度。
用于先进集成电路的低k介电材料典型包含有机聚合物或氧化物且具有小于约为3.5的介电常数。低k介电材料可作一溶液而旋涂于基板,或由化学气相沉积方法所沉积。重要的低k薄膜性质包括:厚度与均匀度、介电常数、折射率、附着性、化学抵抗性、热稳定性、孔洞尺寸与分布、热膨胀系数、玻璃转变温度、薄膜应力、与铜扩散系数。
在制造晶片上的集成电路中,在完成的集成电路可产生的前,晶片通常受到诸多的处理步骤。低k介电材料(特别是含有碳的低k介电材料)会对这些处理步骤中的某敏感。举例而言,在一″灰化″步骤期间所运用的等离子体可剥离光致抗蚀剂材料以及移除低k介电薄膜的一部分。灰化概指一以等离子体为媒介的剥离处理,借助它,残留的光致抗蚀剂与蚀刻后的残留物在暴露至等离子体时从基板剥离或移除。灰化处理通常发生在一蚀刻或注入过程已经实行的后,在蚀刻或注入方法中,光致抗蚀剂材料用作掩模以蚀刻图案至底下的基板或用于选择性注入离子至基板的暴露区域。基于通常为本领域技术人员熟知的诸多理由,蚀刻处理或注入处理完成后晶片上的残余光致抗蚀剂与任何蚀刻后或注入后的残留物必须在进一步处理的前移除。灰化步骤典型为后面跟随着一湿式化学处理以移除微量的残留物,残留物可引起低k电介质的进一步的劣化、材料的损失、且亦可能引起介电常数的增大。
重要的是要指出,灰化处理明显不同于蚀刻处理。虽然二种处理均可以等离子体为媒介,蚀刻处理显著不同在于:选取等离子体化学物以永久转移一影像至基板,它是通过光致抗蚀剂掩模中的开口来移除基板表面的部分。等离子体通常包括在低温度与低压力(毫托的等级)下的高能量的离子轰击,以移除基板的部分。此外,暴露至离子的基板的部分通常以等于或大于光致抗蚀剂掩模的移除速率移除。反的,灰化处理概指选择性移除光致抗蚀剂掩模与蚀刻期间所形成的任何的聚合物或残留物。灰化等离子体化学物相比于蚀刻化学物而很不积极,且通常选择为比下面基板的移除速率大许多的速率移除光致抗蚀剂掩模层。此外,大多数的灰化处理将基板加热至大于摄氏200度的温度以提高等离子体反应度,且在相对较高的压力(一托的等级)进行。因此,蚀刻与灰化处理针对显著不同的材料的移除,如此需要完全不同的等离子体化学物与方法。成功的灰化处理不用来永久转移影像至基板。相反,成功的灰化处理由光致抗蚀剂、聚合物与残留物等移除速率所确定,不影响或移除下面的诸层(例如:低k介电层)。
研究已经提出的是,对于光致抗蚀剂移除处理期间的低k电介质劣化的重要成因是使用含有氧及/或氮及/或氟的气体源来产生灰化等离子体而造成。虽然含有这些来源的一或多个的气体混合物有效地灰化基板的光致抗蚀剂,这些气体源的运用已经证明为有害于含有低k电介质的基板。举例而言,含有氧的等离子体已知在等离子体处理期间提高低k介电底层的介电常数。介电常数的增大尤其影响互连电容,其直接影响装置性能。此外,含有氧的等离子体的应用对于采用铜金属层的先进装置制造而言通常较为不佳,因为铜金属易于氧化。有时,由含有氧的等离子体所引起的损坏不容易在等离子体处理后的基板的计量检查期间检测到。然而,损坏可容易由一个随后的湿式清洁处理展示及观察,该湿式清洁处理在等离子体灰化后典型地运用,其中,移除含有碳及/或氢的低k介电材料的部分。介电材料的移除部分是特征的临界尺寸(CD)的一个变动源,其经常为不可接受且影响整体的装置产率。此外,即使未包括一湿式清洁处理,介电材料的电与机械性质可借助暴露至无氧的等离子体而改变,因而影响操作性能。相信的是,碳在含有氧的等离子体暴露期间从介电材料中耗尽。
理想的是,灰化等离子体应不影响下面的低k介电层,且较佳为仅移除光致抗蚀剂材料。诸如SiO2的传统的电介质(较高的k值)的运用提供关于这些气体源的高选择性,且为适用于早期装置产生。然而,为了使得对于低k电介质的损坏最小,已开发出无氧及无氮的等离子体处理。一个这种处理包括自包含氦与氢的气体混合物产生等离子体,形成不同的移除机构。诸如由氦与氢所形成的等离子体的无氧及无氮的等离子体较不积极,且传统而言不完全与光致抗蚀剂反应。然而相信的是,该种等离子体使得部分光致抗蚀剂借助例如升华而可移除。该种移除机构的结果为,尽管对自基板移除光致抗蚀剂材料有效,等离子体暴露倾向于在处理室和等离子体处理室的下游区域(例如,在排放线路与其中的任何构件)沉积升华或移除的光致抗蚀剂与副产物的大物体。灰化材料的累积可导致短的清洁间的平均时间(MTBC,mean-time-between-clean)与真空硬件的频繁重建/更换,造成产率的损失与拥有成本的提高。此外,在处理室内的光致抗蚀剂材料的沉积(其位于基板平面的上方)可导致于基板上的粒子污染,因而进而影响装置产率。
关于无氧及无氮的等离子体的又一个问题为等离子体暴露的不均匀度。由于这些等离子体较不积极,不均匀度为一个重大的议题。某些下游等离子体灰化器具有一窄直径孔的等离子体管,在该管中产生等离子体.基板的直径通常比等离子体管孔的直径大许多。如此,挡板典型定位成接近于等离子体管出口,以随着等离子体进入处理室而将其偏向,使得等离子体中的物质均匀散布于基板上。然而,已经发现的是,较不积极的等离子体呈现较小的灰化效率,因为反应性的物质自挡板的中央点散布至其外缘,形成热区,即:不均匀度的区域。举例而言,已经发现的是,产生于等离子体中的氢自由基随着氢自由基从轴向流反应器的挡板中央最大撞击点行进至挡板的外缘而在灰化效率上减小。在晶片直径与等离子体管相当的反应室设计中,自由基的不均匀度可以其他方式缓和。
关于无氧及无氮的等离子体的另一个问题涉及终点检测。传统的终点检测方法与装置不适用于这些型式的等离子体。举例而言,在由氢与氦气体混合物所形成的等离子体的情形,没有射出足以产生适于终点检测的信号的光学激发物质,。
因此,仍然需要产生用于低k电介质的无氧及无氮的等离子体的改进方法与装置。
发明内容
本发明所公开的是一种用于处理一基板的轴向流下游等离子体处理装置,它以组合方式包含:一气体源;一等离子体产生构件,流体连通于气体源,等离子体产生构件包含一等离子体管与耦接至等离子体管的一等离子体产生器,以自气体源而产生一等离子体于等离子体管;一处理室,流体连通于等离子体管,在处理室的一入口附近包含一挡板组件,其中,该挡板组件包含一大致平坦的上挡板,其固定为定位于一大致为平坦的下挡板的上方,上挡板小于下挡板以在下挡板与处理室的一上壁之间形成一充实空间(plenum),下挡板包含径向配置于一中央轴周围的多个孔洞,该多个孔洞各个的尺寸自中央轴至下挡板的外缘而增大,且该挡板组件定位为大致平行于基板;及,一排放导管,居中位于于处理室的一底壁。
在另一个实施例中,一种用于处理一基板的轴向流下游等离子体处理装置,以组合方式包含:一气体源;一等离子体产生构件,流体连通于气体源,等离子体产生构件包含一等离子体管与耦接至等离子体管的一等离子体产生器,以自气体源在等离子体管中产生一等离子体;一处理室,流体连通于等离子体管,在处理室的一入口附近包含一挡板组件,其中,该挡板组件包含一大致平坦的上挡板,其固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板以在下挡板与处理室的一上壁间形成一充实空间,下挡板包含径向配置于一中央轴周围的多个孔洞,该多个孔洞在密度上自中央轴至下挡板的一外缘增大;及,一排放导管,居中位于处理室的一底壁。
在另一个实施例中,一种轴向流下游等离子体处理装置用于处理含有碳及/或氢的低k介电层的一基板,该种等离子体处理装置以组合方式包含:一气体源,其包含基本无氮及无氧的气体;一气体净化器,其流体连通于气体源,适于自基本无氮及无氧的气体降低含氮的物质与含氧的物质;一等离子体产生构件,其流体连通于气体净化器,等离子体产生构件包含一等离子体管与耦接至等离子体管的一等离子体产生器,以自净化后的无氮及无氧的气体而产生一等离子体于等离子体管;一处理室,其流体连通于等离子体管,在处理室的一入口包含一挡板组件;一排放导管,其居中位于处理室,且包含流体连通于一氧化气体源的一气体端口;一后燃烧(afterburner)组件,其耦接至排放导管,且适于在排放导管内产生一等离子体(较佳为氧化);及,一光学检测系统,其耦接至排放导管,且包含聚集(collection)光学器件,其聚焦于由氧化等离子体所提供的一等离子体放电区域内。
在又一个实施例,一种用于处理一基板的下游等离子体处理装置,组合上包含:一气体源;一等离子体产生构件,流体连通于气体源,等离子体产生构件包含一等离子体管以及耦接至等离子体管的一等离子体产生器,以自气体源在等离子体管内产生等离子体;一处理室,流体连通于等离子体管;及,一气体净化器,位于气体源与等离子体产生器之间。
本文还公开一种用于处理其中容纳的基板的等离子体处理室,包含:一挡板组件,包含一大致平坦的上挡板,其固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含其径向配置于一中央轴周围的多个孔洞,其中,该多个孔洞各个的尺寸自中央轴至下挡板的外缘而增大,且该挡板组件定位为大致平行于基板。
在另一个实施例中,一种用于处理其中容纳的基板的等离子体处理室包含:一挡板组件,包含一大致为平坦的上挡板,该上挡板固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,其中,该多个孔洞在密度上自中央轴至下挡板的外缘增大。
本文还公开一种用于分配气体流至含有欲作处理的一半导体晶片的相邻处理室的挡板组件,包含:一大致平坦的上挡板,其固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,其中,该多个孔洞在密度上自中央轴至下挡板的外缘而增大。
在另一个实施例中,一种用于分配气体流至含有欲作处理的一半导体晶片的相邻处理室的挡板组件,包含:一大致平坦的上挡板,其固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,其中,该多个孔洞各个的尺寸自中央轴至下挡板的外缘而增大。
一种用于均匀分配产生于无氧及无氮的等离子体的激发物质至基板的方法,包含:引入激发物质至一等离子体处理室,其中,该等离子体处理室包含一挡板组件以接收激发物质,该挡板组件包含一大致为平坦的上挡板,其固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,且多个孔洞各个的尺寸自中央轴至下挡板的外缘而增大;及,暴露基板至流通过该挡板组件后的激发物质。
在另一个实施例中,一种用于均匀分配产生于无氧及无氮的等离子体的激发物质至基板的方法,包含:引入激发物质至一等离子体处理室,其中,等离子体处理室包含一挡板组件以接收激发物质,该挡板组件包含一大致平坦的上挡板,上挡板固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,且多个孔洞在密度上为自中央轴至下挡板的外缘增大;及,暴露基板至流通过该挡板组件后的激发物质。
一种等离子体灰化方法,用于自含有碳及/或氢的低k介电层的一基板移除光致抗蚀剂材料与蚀刻后的残留物,该方法包含:使基本无氧及无氮的气体流至一气体净化器,以形成具有降低污染物程度的一净化后的气体;自该净化后的气体形成一等离子体;引入等离子体至一处理室,其中,该处理室包含一挡板组件以接收等离子体,该挡板组件包含一大致平坦的上挡板,上挡板固定地定位于一大致平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,该多个孔洞在密度上自中央轴至下挡板的外缘增大;使等离子体流过挡板组件,且暴露基板以自基板而移除光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物;排出被移除的光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物至处理室的一位于中央的排放导管;选择性引入一氧化气体至排放导管;由氧化气体及被移除的光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物形成一等离子体;光学监视产生于排放导管等离子体的一发射信号;及,由观察到的发射信号的变化检测光致抗蚀剂材料与蚀刻后的残留物的终点。
在另一个实施例中,一种等离子体灰化方法用于自含有碳及/或氢的低k介电层的一基板移除光致抗蚀剂材料与蚀刻后的残留物,该方法包含:使基本无氧及无氮的气体流至一气体净化器,以形成具有降低污染物程度的一净化后的气体;自该净化后的气体形成一等离子体;将等离子体引入至一处理室,其中,该处理室包含一挡板组件以接收等离子体,该挡板组件包含一大致平坦的上挡板,其固定地定位于一大致为平坦的下挡板的上方,上挡板小于下挡板,下挡板包含径向配置于一中央轴周围的多个孔洞,该多个孔洞各个的尺寸自中央轴至下挡板的外缘增大;使等离子体流过挡板组件,且暴露基板以自基板移除光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物;排出被移除的光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物至处理室的一底壁的位于中央的排放导管;选择性引入一氧化气体至排放导管;由氧化气体及被移除的光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物形成一等离子体;光学监视产生于排放导管等离子体的发射信号;及,由观察到的发射信号的变化检测光致抗蚀剂材料与蚀刻后的残留物的一终点。
上述及其他的特点借助随附附图与详细说明而举例说明。
附图说明
参考范例附图,其中,相似的元件在数个附图中类似的编号:
图1是一种下游等离子体灰化装置的截面图;
图2显示一种用于等离子体灰化装置的微波封壳的立体图;
图3显示截面图,其概要显示一种适用于下游等离子体灰化装置的等离子体产生构件;
图4显示等离子体灰化装置的立体图;
图5一种光致抗蚀剂灰化器处理室的部分切除立体图,其中一气体分配组件安装在处理室内;
图6是根据一个实施例的气体分配组件的平面图;
图7是图6的挡板组件的截面图,沿着线7-7所取得;
图8是根据另一个实施例的气体分配组件的平面图;
图9是一种用于下游等离子体灰化装置的负载闸室组件与处理室的立体图;及
图10是图9的负载闸室组件与处理室的俯视平面图。
具体实施方式
图1大致说明一种轴向流下游等离子体装置10,其适用于自包括低k介电材料的基板移除光致抗蚀剂、侧壁沉积物、与蚀刻后的残留物。等离子体装置10总体包含一气体传送构件12、一等离子体产生构件14、一处理室16、与一排放组件构件18。种种的构件组合提供意想不到的改良,例如:借助无氧及无氮的等离子体处理基板,其中,基板包括含有碳的低k介电材料。
特别适用于本发明公开内容的修改的下游轴向流等离子体装置为等离子体灰化器,诸如例如:可以商标Fusion ES交易且商业上从Axcelis科技公司获得的等微波等离子体灰化器。微波等离子体灰化器的部分描述于美国专利5,498,308与4,341,592、及PCT国际专利申请W0/97/37055,其整体为以参照方式而纳入于本文。如将于下文所论述,公开的内容不限于这个或以下实施例的任何特定的等离子体灰化器。举例而言,可采用一种感应耦接式等离子体反应器。
含有碳的低k电介质在下文定义为含有碳的绝缘材料,其适用于具有介电常数小于约3.5的集成电路或类似物的制造。含有碳的低k介电材料可包括含有碳的附属基团,或可为基于碳,其中,介电材料的骨干主要包含碳的互连网路。含有碳的低k电介质可大致分类为二种型式的一:有机与掺杂的氧化物。有机的低k介电材料的实例包括:聚酰亚胺、苯并环丁烯、聚对二甲苯、类似钻石的碳、聚芳基醚、cyc lotene、氟碳化合物与类似者,诸如:可以商标SiLK或BCB购得的电介质。掺杂的氧化物的低k介电材料的实例包括:甲基倍半硅氧烷(methylsilsesquioxane)、氢倍半硅氧烷(hydrogen silsesquioxane)、奈米多孔氧化物、掺杂碳的二氧化硅与类似者,诸如:可以商标CORAL、BLACKDIAMOND与AURORA购得的电介质。二种型式的含有碳的低k材料都以致密与多孔型态存在。其多孔型态商业上以熟知的诸如LKD、ORION、BOSS或多孔SiLK商标销售。鉴于此公开的内容,对于本领域技术人员而言,其他的含有碳的低k介电材料将为显明。
同理,含有氢的低k电介质在下文限定为那些含有氢的绝缘材料,其适用于具有介电常数小于约3.5的集成电路或类似物的制造。上述诸多的含有碳的低k电介质包括一或多个氢原子,氢原子在其化学结构内附接至碳原子。然而,这里公开的内容中适合的含有氢的低k介电材料并不是要限制于含有碳的结构。
如于图1所示,气体传送构件12优选包含气体净化器20,其流体连通于一气体源22(用于产生无氧及无氮的等离子体)和等离子体产生构件14的气体入23。一个额外的气体源(未显示)可体连通于气体入口23,以提供就地清洁能力。在一个较佳实施例中,净化器20适于降低杂质程度至小于约为百万分之20(即:20ppm),更佳为具有小于约为5ppm的杂质程度,更佳为具有小于约为1ppm的杂质程度,且最佳为具有小于约为十亿分之100(即:100ppb)的杂质程度。实现这些杂质程度的适合的净化器包括基于一种金属吸取技术的净化器,诸如:可自SAES纯气体公司以商标名称为MONO TORR购得的高气体净化器。20为流体连通于用以形成等离子体的气体源22,它降低污染物的程度至有效于强健处理低k介电基板(尤指其含有碳的低k电介质)的量。用于产生无氧及无氮的等离子体的适合的气体包括而非意图受限于:氢、氦、氩、氖、其他的惰性气体、烃、与包含前述气体的一或多者的组合。举例而言,具有发表的纯度为99.999%的氦气体源对于以等离子体为媒介处理基于碳的低k电介质是不想要的。诸如H2O、O2、CO、CO2与N2的杂质程度可足以在基板的进一步处理期间引起低k电介质的腐蚀及/或有害造成介电常数的一增大。较佳而言,用于形成等离子体的进入气体净化以含有小于约20ppm的H2O、O2、CO、CO2与N2。净化器20较佳选择为有效率且以相当高的流量率提供这些较佳的杂质程度,例如:每分钟为约1000至约12,000标准立方厘米(sccm,standard cubic cent imeter per minute)或更大的流量率可预期用于300mm下游等离子体灰化器。200mm系统需要比例上较小的流量率。
第2与3图说明一个范例的微波等离子体产生构件14,借助它可实施本发明。图4说明包括微波等离子体产生构件的等离子体灰化装置10的立体图与处理室16的立体图。可了解的是,等离子体产生构件14已经简化以仅说明相关于了解本公开内容的那些构件。本领域技术人员知道,可能需要其他的构件来产生一操作等离子体灰化装置10。然而,因为该等构件为本领域众所周知的,且因为其未进而有助于本公开内容的了解,这些构件的论述未提供。
微波等离子体产生构件14包括一微波封壳24。微波封壳24为一矩形盒体,其分隔为长度方向的分段26、28、与30且具有通过其中的等离子体管32。各个分段具有一开口,等离子体管通过于其中。各个分段在操作期间馈送以微波能量。因此,各个分段对于进入微波而呈现一相当短的腔部,促进具有方位与轴向均匀度的模式的形成。外管34环绕在腔部内侧的等离子体管。外管稍微分离于等离子体管,且空气在正压力的下而馈送于该二管之间以提供等离子体管的有效的冷却。管34较佳为由蓝宝石制成。诸如石英、覆有氧化铝的石英、或陶瓷的其他的等离子体管材料也可运用。较佳而言,微波封壳24的尺寸确定为支撑矩形的TM110模式,且封壳24可具有一方形的截面。截面的尺寸使得TM 110模式为共振。各个分段的长度小于入g/2,其中,λg是在TE 101模式的腔部内的导引长度。
在分段26、28、与30的开口(通过它们馈入同心的各管)作成大于等离子体管的外部尺寸。也就是所示的隔膜(iris)板36,其覆盖该微波结构的开口侧,且有效地馈送微波能量至相邻的分段。板36为一平坦的金属板,其具有隔膜38、40、42与44,通过它们馈送微波能量。经过设计,通过这些隔膜存在受限制的微波传输,归因于运用径向传输线路陷波器(trap)。这些陷波器确保对于各个分段的顶与底表面的一致的边界条件。若未运用一外管(以某些其他方式提供冷却),分段的开口的尺寸使得在等离子体管与分段间存在有空间以提供该微波传输。
微波陷波器46与48设置于二端以防止微波传输。该等陷波器可为美国专利5,498,308所公开的型式。设置空气密封/方向性馈送器50与52以允许冷却空气馈送至同心的诸管间的空间。空气密封/方向性馈送器54显示于出口端,且一第四个这种单元存在而未示出。
磁电管56提供微波功率,其通过耦接器58馈送至一波导,其供应TE 10模式且具有互相垂直的分段60与62。波导分段62的长度借助可移动的柱塞64可调整。波导分段62的底板为隔膜板36,其耦接微波能量至分隔的微波结构24,等离子体管32延伸通过微波结构24;因此,等离子体激发于流通经过等离子体管的气体混合物。
再次参考图3,看出的是,端盖70邻接微波陷波器48,且具有允许气体至等离子体管的一中央孔的配件74延伸至端盖。气体供应器22由一外部流量盒(未显示)所调节。气体净化器20配置为流体连通于气体供应器22与气体入口23(参阅图1)。等离子体管32由端盖中的O形环72支撑于此端。外管34借助邻接于微波陷波器46与48而支撑于其末端。存在间隔物76以提供关于处理室的适当的间隔。等离子体管的另一端位于末端构件78,且具有一开口80以发射等离子体/气体至处理室16。选用而言,形成开口80的导管装配具有一窄的孔洞配件,以建立等离子体管32与处理室16间的一压力差异,其中,等离子体管32的压力较大。在操作期间,在等离子体管32中的压力的较佳范围是自约1托至约大气压力。对照而言,在操作期间的处理室内的压力范围是自约100毫托至约大气压力。
等离子体管32的开口80流体连通于处理室16的一内部区域。由于等离子体自一相当窄的孔(相较于所处理的基板的尺寸)而释放至处理室的内部,促进均匀的等离子体暴露于基板的一气体分配组件100配置于处理室16。气体分配组件100配置于基板与等离子体管32的开口80的中间。
在一个较佳实施例中,气体分配组件100包含在晶片的上方的一或多个挡板,以促进等离子体的均匀分布至基板表面。挡板较佳为包括多层堆叠的挡板,其中,各板含有一或多个孔洞。在一个特别较佳的实施例中,挡板组件适于提供于等离子体的反应性物质的更均匀的反应性。如于本发明背景所述,已经发现的是,例如于一等离子体的氢自由基随着氢自由基行进自轴向流反应器的中央最大撞击点至挡板的外缘而减小其灰化效率。仅管不想被理论所限定,相信的是,氢自由基的活性的降低(随着这些物质为流动至挡板的外缘)可能为二种效应的组合。首先,于诸如本文所述的下游等离子体装置的一种轴向流反应器设计,来自晶片的中央部位的光致抗蚀剂灰化副产物与耗费的气体必须流动通过晶片的边缘以到达处理室16的排放导管170。这造成较为接近晶片边缘(相较于较为中央的部位)的活性的氢自由基的可观的稀释,且另外提供对于较为接近边缘的氢自由基的较多的机会会借助反应于已经移除自较为中央的位置的光致抗蚀剂灰化副产物而去除活化。其次,因为至边缘的距离大于至中央的距离,对于氢自由基较可能自诸如重新组合至分子氢物质的一过程而去除活化。已经发现的是,灰化率的较佳的均匀度可借助自挡板的中央点至外缘提高挡板的孔洞密度而实现。举例而言,借助提高自中央点至外缘的孔洞密度或是借助增大自挡板的中央点至外缘的孔洞尺寸、或借助纳入一无孔洞的中央点、或借助一或多个前述的挡板构造的组合,可提高反应度且改善于基板的等离子体均匀度。
图5至图8说明用于装置10的适合的气体分配组件。在一个较佳实施例中,气体分配组件100是一种双挡板组件。图5显示纳入该气体分配组件或挡板组件100的一第一实施例的处理室16。具有挡板组件100为安装于其中的灰化处理室16适用于一300毫米(mm)的晶片处理系统。气体分配组件100亦可为适用于200mm晶片,如本领域技术人员将根据所公开的内容所理解的。此外,虽然本文所述的气体分配组件100显示为实施于一下游等离子体灰化装置,亦可运用于其他的半导体制造设备,诸如:残留物移除、剥离、与各向同性(isotropic)蚀刻设备。
挡板组件100包含一具有孔洞的上挡板102与一相对较大的具有孔洞的下挡板104,其定位为大致平行于彼此且为彼此分离。挡板组件100附接至处理室的一下部106,其包括一腔部108,欲处理的一晶片110置放于腔部108。除了方位为平行于彼此,挡板102与104也定位为平行于所处理的晶片110。
一密封112设置于挡板组件100与处理室的下部106的间的介面,且位于于下挡板104的沟槽114(参阅图7)。晶片经由一负载闸机构(未显示)且经由进入/退出通道116而引入及移出处理室。位于处理室的下部106的下方的一加热器机构(论述于后)在处理期间而加热晶片110的底侧至一期望的温度。
处理室16典型为安装于等离子体灰化装置10,位于加热器组件(在下方)与等离子体产生构件14(在上方)的中间处在孔118的位置处。在操作期间内,离开等离子体管32(参阅图3)的比较窄的孔的激发的等离子体(气体)遭遇挡板组件100。在一个较佳实施例,流通自等离子体管32的激发的等离子体先遭遇上挡板102的一中央区域,该中央区域基本无孔洞。此无孔洞的区域具有功能为:免除退出等离子体管32的高的轴向气体速度且在径向加速该气体/等离子体物质,以实现形成于下挡板104与处理室盖部的间的充实空间的操作。等离子体接着经由上挡板102中的孔洞120与下挡板104中的孔洞122而分配至处理室腔部108。在一个实施例中,下挡板104可借助经由入口126与出口128以流通于内部冷却通道124的一冷却媒体而主动冷却。处理室的下部106的壁部130亦可借助其经由入口134与出口136以流通于内部冷却通道132的一冷却媒体而主动冷却。
如更清楚显示于图6与图7,下挡板104包含一外凸缘138与含有孔洞122的一大致平坦部140。安装孔(未显示)可设置于下挡板104,以借助支座(standoff)144而安装上挡板102。介于上与下挡板间的距离部分决定通过挡板组件100的气流的型态。针对300mm等离子体灰化器,介于上挡板102与下挡板104间的距离较佳为大约0.25英寸至大约2英寸,更佳为大约0.5英寸至大约1.5英寸。
图6是图5所显示的300mm挡板组件的平面图,而图7是挡板组件100的此实施例的截面图。如这些附图所示,挡板组件100经由下挡板凸缘138中的安装孔146而安装至处理室的下部106。孔洞122设置于下挡板。孔洞部位122的表面积充分覆盖位于下方的晶片110(参阅图5)。在此实施例中,孔洞122的尺寸自该下挡板的一中央点至一外缘而增大。孔洞122的渐增的尺寸改善针对无氧及无氮的等离子体的等离子体均匀度,诸如运用于含有碳及/或含有氢的低k电介质。
图8说明根据另一个实施例的下挡板104的平面图。在其中,孔洞122的密度自下挡板104的中央点至外缘增加,其中,孔洞的尺寸是相同的。下挡板较佳为由石英(SiO2)、覆有蓝宝石的石英、蓝宝石、陶瓷、或阳极化的铝所制造。
上挡板102中的孔洞120大致为布置于一径向或同心多层的圆形图案。上挡板102由覆有蓝宝石的熔合氧化硅、石英、蓝宝石、或陶瓷材料而组成。上挡板102中的孔洞120较佳为稍大于下挡板104的最大的孔洞122。位于于上挡板102的中央较佳为无孔洞的一区域,其可另外包含一蓝宝石、石英、或陶瓷撞击板152,其可以例如螺丝154固定于上挡板102。上挡板102的中央无孔洞的部分(有或无撞击碟152)将发射自等离子体管32的激能气体转向为径向朝外至上挡板102的其余的孔洞区域,从而防止所处理的晶片110的径向朝内部分为过热,且因此防止相比于晶片的其余部分的一比例上较高的速率而灰化。在另一个实施例中,上挡板102可构成为无孔洞,较佳为用于处理200mm晶片。
基板110的加热较佳为由定位于晶片110的下方的一阵列的钨丝卤素灯160而实现(参阅图1)。对于可见光及/或红外线辐射透明的一板156(如于图5所示的处理室的底壁)配置于室16与灯160间。较佳而言,基板于灰化期间为自大约摄氏80度而加热至大约摄氏350度。更佳而言,基板借助递增提高温度而逐步加热.加热已被发现为提高等离子体与光致抗蚀剂及/或蚀刻后的残留物的反应速率,且因此提高生产率。施加至基板的热量将取决于特定的低k介电层以及其他诸层与其已经形成于基板的构件的热稳定度。在一个较佳实施例中,热量非均匀地施加至基板的选择区,以利于等离子体与光致抗蚀剂的均匀反应。在此实施例中,一控制器(未显示)操作连通于灯阵列160,以种种方式而加热基板110,以促进该晶片于处理期间的更均匀加热。加热晶片的另一种方法采用接触或紧邻以加热晶片的一平坦加热表面,通常称为一吸盘(chuck)。
基板110较佳为暴露至充分强度与持续期间的热量,以使挥发性的污染物扩散离开低k介电层且挥发而未引起于基板的任何其他构件或诸层的劣化。较佳而言,针对多孔或无孔的掺杂氧化物的含有碳的低k介电材料,晶片加热自大约摄氏20度至大约摄氏400度,更佳为大约摄氏100度至大约摄氏300度。较佳而言,针对有机的低k材料,晶片加热自大约摄氏80度至大约摄氏180度的一最大值。对于有机电介质的最大温度取决于运用的有机低k材料的内在性质,且可为由本领域技术人员所熟知的热分析技术所决定。该温度系在处理期间逐步上升,或在干燥处理期间维持静态。
此外,处理室16包括配置于底板156的中央的一个排放开口158。较佳而言,排放开口158同轴于等离子体管32。
处理室16内的操作压力较佳为大约100毫托至大约3托,更佳为大约200毫托至大约2托,且最佳为大约500毫托至大约1.5托。此外,处理室16可还包括取决于应用的另外的特征。举例而言,可装设一石英窗,且一UV光源可置放为邻近于晶片。该种非柱状的光源可具有类似于UV准分子雷射的波长,其已经证明为增强整体剥离(bulk strip)应用的光致抗蚀剂移除,且如此可与微波等离子体产生的反应气体而并行运用。此外,先行(pre-)及后行(post-)光致抗蚀剂暴露至光源的剥离亦可提供残留物移除与注入光致抗蚀剂移除的优点。高架RF源、光学端口、气体分析器、另外的光源与类似者亦可为独立或组合于处理室16而运用,以提供一极度弹性的处理平台。
排放组件构件18耦接至处理室16。排放组件构件18包括一排放导管170,其为流体连通于处理室16的一内部区域。排放导管170的一入口172流体附接至处理室16的底板156的开口158。排放导管170较佳为具有自入口172至出口174的一大致直线的形状,因而使得高冲击区域(例如:于导管的尖锐的弯曲与曲线)及于尖锐弯曲处的光致抗蚀剂材料与等离子体灰化副产物的累积的倾向降为最小。在一个较佳实施例中,排放导管170由石英所制造。排放导管170(与开口158)的最小直径针对一300mm灰化装置而言较佳为至少大约2英寸(针对一200mm等离子体灰化装置而言较佳为大约1.5英寸或更大)。借助定位排放导管170于处理室16的中央,简化了自该等离子体管至排放组件的流动且提供较大的等离子体均匀度。
排放导管170的出口174较佳为连接至真空系统176。一后燃烧组件178操作连通于排放导管170。一气体入口180与气体源182流体连通于排放导管170,且定位于后燃烧组件178的上游。采用后燃烧组件178以产生一等离子体放电于排放导管170的内,从而挥发来自该处理室16所排放的光致抗蚀剂材料与副产物。如将更为详述于后文,气体源180较佳为基于一非卤素的氧化气体,诸如:氧气。较佳而言,氧化气体恰在射频(RF)线圈的上方且与处理室16的排放开口158分开(即:在下游)而引入至后燃烧组件。氧气进入至处理室16将以前述的方式而有害影响低介电材料,硬体与方法设计成回避此情况。
后燃烧组件178大致包含一射频线圈183,其缠绕于排放导管170的一外部,以感应激发流通于排放导管170的一气体混合物。氧化气体较佳为引入于入口180,其位于后燃烧组件178的上游。射频线圈可由一微波源所替代,以产生具有类似结果的等离子体。一节流阀184、前线阀(未显示)、真空泵176、与其他的真空处理线路配置于后燃烧组件178的下游。
射频线圈183连接至一个适合的射频产生器或电源供应器186。电源供应器频率典型在自400KHz至13.56MHz的较佳值的范围内变化,且为于小于1千瓦,而亦可处于较高的频率与较高的功率。更佳而言,采用300瓦(W)至500瓦的一射频功率以感应耦接一含有氧物质的等离子体于排放导管170,致使其中含有的有机物燃烧。结果,防止及/或移除于处理室的下游的光致抗蚀剂材料与等离子体副产物的沉积。
射频连接典型通过一射频接线盒188制成,且线圈183在等离子体灰化处理的开始时供以能量。通过耦接式射频场的含有氧(O2)的气体混合物产生一等离子体,其有效且有效率地燃烧有机物质。较佳而言,后燃烧组件178构型成在一基板110于处理室16内的等离子体灰化处理期间同时操作。
此外,排放导管170亦包括一光学检测系统190。光学检测系统190光学检测具有特定的波长范围的发射信号,其对应于等离子体与光致抗蚀剂的间的反应的反应物与副产物。该种技术仰赖于检测来自于等离子体的反应物与副产物的特性光学辐射的发射强度变化。当电子为自一较高能量的状态而松弛至一较低能量的状态,激发的原子或分子发射光线。不同的化学化合物的原子与分子发出一系列的独特的光谱线。等离子体内的各个化学化合物的发射强度部分取决于等离子体的化学化合物的相对浓度。一种典型的光学发射光谱装置借助测量反应性的物质与反应性物质的副产物与光致抗蚀剂等的发射强度而操作。举例而言,副产物的光线的发射减小且当到达终点时而最终为停止。该种光学发射光谱装置感测该副产物的衰退的发射强度,以决定此终点。有利而言,可运用来自后燃烧组件178的释放区域的下游区域的光学信号以明确指出该等离子体为于何时点火。举例而言,诸如氧的氧化剂当等离子体点火于排放导管170内且产生燃烧产物时消耗。例如一氧化碳、二氧化碳、水、与类似物的燃烧产物典型为光致抗蚀剂借助含有氧的等离子体的等离子体灰化期间而遇到的。由于这些物质发射强的光学发射信号,一种无氧及无氮的等离子体方法可借助分析在排放导管等离子体释放区域所产生的光学信号而易于监视其终点检测。一旦所监视的物质的信号无法检测,可假定的是终点已经到达。如先前所论述,无氧及无氮的等离子体方法的运用期望自含有碳及/或含有氢的低k电介质的基板而移除光致抗蚀剂掩模与类似。适用于本公开内容的无氧及无氮的等离子体方法公开于审理中的Waldfried等人的美国专利申请09/855,177,其整体为以参照方式而纳入本文。鉴于一无氧及无氮的等离子体灰化方法期间所产生的光学非发射性的物质,该方法及装置提供一种用于终点检测的机构,否则通常难以于一处理室做直接检测。
光学检测系统190耦接至排放导管。聚集光学器件192可布置在排放导管170的外侧,以聚集因此通过的发射光谱。由于排放导管170较佳为由一光学透明材料(诸如:石英或蓝宝石)所制造,一光学端口或窗不需要。当一光学不透明材料为运用于排放导管的制造,石英或蓝宝石的一光学端口可形成于排放导管。布置一光谱计或单色仪(大致显示于图1的194)以接收来自聚集光学器件192的光线。光学发射光谱技术一般为本领域众所周知的。在一个实施例中,光学发射光谱技术借助一光谱计,诸如:基于电荷耦合装置(CCD,charge couple device)的光谱计或是基于光二极体阵列(PDA,photodiode array)的光谱计,时间上依次记录一波长范围且转换发射光谱为类比信号以供后续的分析。任选地,可运用窄频带的滤波器以允许在诸如一倍光管(PMT,photomultiplier tube)或一光二极体的一光线检测器来评估有兴趣的特定波长范围。光谱计时间上依次转换灰化过程期间所发射的特定波长的光信号至一电类比信号,其可接着运用本领域所知的方法而分析,以产生一期望的输出。较佳而言,资料可即时检视。较佳而言,资料以图像形式检视,其显示有兴趣的波长范围的等离子体处理期间所发射的光线强度的时间进展。
或者,其他的光学检测器可运用。举例而言,如上所论,可运用一单色仪以收集资料。如本领域所知,单色仪可构成具有一倍光管、一光二极体、或类似者以记录发射信号。
鉴于此公开的内容,对于本领域技术人员而言,在一等离子体反应室中的这些光学发射光谱装置与适合的构造是明显的。适用于本揭示内容的一种单色仪的一个实例可商业上购自Verity公司的型号EP200MMD。适用于本公开内容的一种扫描单色仪的一个实例可商业上购自Verliy公司的型号EP200SMD。适用于本公开内容的基于CCD光谱计的实例可商业上购自Verity公司的型号SD1024、与可商购自Ocean Optics公司的系列PC2000C CD光谱计。适用于本揭示内容的一种光检测器阵列的一个实例可商业上购自德国Prema公司的型号SPM9001。
图9与图10说明耦接至处理室16的一负载闸室200。负载闸室200与处理室16互连,其中,一可闭合的真空密封的开口202配置且构成为允许晶片在处理室与负载闸室200之间交换。如图所示,开口202构成为允许单一晶片通过。或者,开口202尺寸确定为允许二个晶片同时通过,例如,一个处理后的晶片离开处理室而一个尚未处理的晶片进入处理室。负载闸室200大致包括一可移除的子室204与一室206。子室204可移除式附接至室206的一壁,且较佳为含有一个双端操作装置(effector)晶片输送机构,诸如:如Kinnard等人的美国专利申请09/905,031所述,其以参照方式而整体纳入于本文。双端操作装置晶片输送机构通过开口202自动机械式输送晶片进出于室206与16。由于关节连接臂容纳于固定至负载闸室206的一可移除的子室204,简化了机械手的装设与修理。
双端操作装置晶片输送机构包括一上连结臂208与一下连结臂210,其共用一共同枢轴212,诸臂连接于枢轴212。上连结臂208的远端枢转连接至一上平移臂214,其包括一上端操作装置216以固定一晶片或一基板110(参阅图1)。臂208包括一细长的壳体,其具有一可移除的二件式的盖230与232。
同理,下连结臂210的远端枢转连接至一下平移臂218,其包括一下端操作装置220。臂210亦包括一细长的壳体,其具有一可移除的二件式的盖230与232。双端操作装置216与220的运用允许负载闸室206于与处理室16的晶片交换作业的中间点而同时含有二个晶片,因而允许高生产率。一冷板222大约安装于负载闸室206的中央,且若必要时,可由人工调整于x-y平面。处理室16包括二个晶片支撑接脚(晶片接脚)224与226,以于处理期间支撑一晶片110。一热电偶228提供对于晶片的额外的支撑,且提供一测量晶片温度的机构。
有利而言,负载闸室提供一机构以冷却基板至低于摄氏100度。此外,如上所述的负载闸室无需于晶片间的通风,其将潜在为引入污染物至基板。如先前论述,氧与氮不利于低k介电材料。将接触于这些气体加以消除或减到最小是重要的,以维持低k介电材料的有利的性质。
较佳而言,上述的等离子体装置运用于基板,其中,低k材料含有碳及/或氢于其结构,诸如:掺杂的氧化物、多孔的材料、与有机的低k薄膜。含有碳的低k介电材料可包括含有碳的附属基团,或可为基于碳,其中,介电材料的骨干主要为包含碳的一互连网路。运用无氮及无氧的等离子体的方法提供高灰化选择性且克服于先前技艺所指出的问题,其发生自基于碳及/或氢的低k介电材料的灰化光致抗蚀剂、聚合物与残留物。此外,该种方法减轻由于灰化等离子体的氮所引起的后续金属填充问题。
灰化方法包括:自一等离子体气体混合物而产生反应性的物质,以及暴露一基板至反应性的物质。等离子体气体混合物的特定的成分由其在等离子体形成条件下形成一气体与等离子体的能力而选定。选择的气体混合物没有在等离子体形成条件的下而产生反应性的氧物质与反应性的氮物质的成分。更佳而言,气体混合物没有含氧的化合物及含氮的化合物。气体混合物可包括多个反应性的气体,其带有氢,诸如:氢与烃。气体混合物可还包括一惰性气体,诸如:氩、氦、氖与类似者。由气体混合物所产生的等离子体主要为反应于光致抗蚀剂、聚合物与残留物的碳与其他原子,以形成在基板的温度与压力条件下而挥发的化合物及/或冲洗可移除的化合物。该种方法最佳化成较佳为具有大于50∶1的一选择。
适用于该种方法的带有氢的气体包括含有氢的那些化合物。带有氢的气体包括:烃、氢气或其混合物。较佳的带有氢的气体在等离子体形成条件的下而存在于一种气态,且在等离子体形成条件的下而释放氢以形成反应性的氢,诸如:原子的氢物质、与其他的氢自由基。烃通常为未取代。带有氢的烃气体的实例包括:甲烷、乙烷、与丙烷。
较佳的带有氢的气体是一种带有氢的气体与贵气体的混合物。适用于本方法的贵气体的实例包括周期表第八族的气体,诸如:氩、氖、氦与类似者。虽然先前技艺的无氧的等离子体一般运用包括氢与氮气体混合物的一种形成气体组成物,本方法明确排除氮气的运用。因此,由于形成气体在下文定义为含有氢气与氮气的混合物的一种气体,本方法明确排除形成气体的运用。特别较佳地运用于本发明的为包括氢与氦气体的一种气体混合物。氦气体原子轻且易于扩散至基板,其造成对于等离子体产生的反应性氢物质的优越的载体特性。
为了安全的理由,气体混合物的氢气的百分率通常不超过大约气体混合物的体积的5%。然而,较高量的氢气可接受且有时为较佳以提高光致抗蚀剂移除率与选择性。较佳而言,气体混合物的氢气的量总体积的大约1%至大约99%。更佳而言,气体混合物的氢气的量总体积的大约10%至大约30%。
操作时,具有光致抗蚀剂及/或蚀刻后的残留物于其上(与含有碳的低k介电材料)的半导体晶片110以晶片支撑接脚而置放至处理室16。晶片110较佳由红外线灯160所加热,以加速光致抗蚀剂及/或蚀刻后的残留物与等离子体的反应。于处理室16的内的压力接着降低。较佳而言,压力维持于约1托至约5托的间。一可激发的无氧及无氮的气体混合物馈入至净化器,且然后为经由气体入口24至等离子体产生构件14的等离子体管32。等离子体产生构件14的各个分段26、28、与30馈入微波能量以激发一等离子体于等离子体管32,该等离子体由电中性和带电的粒子所组成。带电的粒子较佳为在该等离子体进入处理室16的前而选择性移除。气体的激发或高能量的原子馈入至处理室且均匀分布于晶片上以反应于光致抗蚀剂及/或蚀刻后的残留物,其引起光致抗蚀剂材料的移除且亦形成挥发性的副产物。光致抗蚀剂材料与挥发性的副产物连续扫除自晶片表面至位于中央的排放导管170。
等离子体灰化的同时,一非卤素的氧化气体(诸如:氧气)馈入至处理室16的下游的排放导管。无氧气进入处理室16。后燃烧组件178供以能量以形成高密度的等离子体于排放导管170。针对构成具有基于CCD的光谱计的一排放导管170,CCD光谱计时间上依序记录一发射光谱,其包括对应于若为存在的光致抗蚀剂材料与挥发性副产物的发射信号。所监视的发射光谱的波长范围由运用的CC)光谱计的型式与用以排除某些波长发射到达CC)光谱计的任何滤波器而决定。CCD光谱计构造同时记录背景辐射与来自于灰化处理期间的发射物质的辐射。运用熟悉此技艺人士所习知的标准演算法,背景辐射可减自等离子体与光致抗蚀剂及/或副产物的反应所造成的辐射。一旦该发射峰值记录到强度值的变化且符合终点演算法所设定的条件,光致抗蚀剂及/或残留物的移除完成,一信号接着为送至一控制单元且等离子体可关掉。真空解除且处理后的晶片可自处理室移出。运用选用的冲洗以移除于剥离后的晶片的任何其余的残留物。
在具有单色仪的等离子体灰化器,空白的未涂覆的晶片先暴露于处理室16,且测量于一期望的波长的一第一发射信号。第一发射信号代表背景辐射,如上所论。接着,具有光致抗蚀剂及/或残留物于其上(与含有基于碳的低k介电材料)的基板暴露至处理室的等离子体。发射于期望的波长的一第二发射信号由单色仪记录于排放导管。第一发射信号的背景辐射减自第二发射信号。当于期望的波长的第二发射信号达到一稳态且约为相同或低于第一发射信号,已到达灰化终点,且一信号接着送出至等离子体灰化器的一控制单元而关掉等离子体。真空接着解除且处理后的晶片移出自反应室。一选用的冲洗接着为运用以移除于剥离后的晶片上的任何其余的残留物。
鉴于此公开的内容,用于监视自处理室所排放的等离子体副产物的其他的单色仪、光谱计或类似构造与其操作对于本领域技术人员而将为显明。较佳而言,约为283nm、约为309nm、约为387nm、约为431nm、约为434nm、约为468nm、约为472nm、约为513nm、约为516nm、约为656nm、约为777nm、与约为841nm(正负约5nm至约为10nm)的发射信号在排放导管中监视。这些发射信号代表光谱峰值,此乃针对由光致抗蚀剂成分、无氧及无氮的上游等离子体、与下游后燃烧器等离子体的一混合物所形成的光致抗蚀剂材料与等离子体副产物。以此方式,无氧及无氮的等离子体可运用以自含有基于碳的低k电介质的基板而移除光致抗蚀剂材料与蚀刻后的残留物,而一第二等离子体(较佳为氧化)形成于排放导管170以决定对于无氧及无氮的等离子体的灰化终点。
除非另为指明,用于制造种种的构件12、14、16、与18的材料包括:金属、陶瓷、玻璃、聚合物、复合材料、与包含上述材料至少一个的组合。举例而言,适合的金属包括:阳极化的铝、及/或不锈钢。适合的陶瓷材料包括:碳化硅或氧化铝。
以下的实例仅为针对示范说明目的而提出,且非意图限制本公开内容的范畴。
实例1
在此实例中,等离子体均匀度运用不同的双挡板构造在可商购自Axc6lis科技公司的一Fusion ES3下游微波等离子体灰化器而检验。多个300mm的硅晶片在相同的条件下而覆有0.75微米的AZ 1505光致抗蚀剂且暴露至1.1托的一4%氢的氦等离子体,在表1所示的温度。AZ 1505光致抗蚀剂可商购自Hoechst公司。针对各个构造的上挡板相同。运用由均匀间隔跨于13英寸圆形面积的420个孔洞所组成的一控制的下挡板。各个孔洞直径为0.113英寸。针对控制的等离子体均匀度比较于根据本发明公开内容的二个不同构造。构造1由均匀间隔跨于15英寸圆形面积的570个孔洞所组成。自中心5英寸半径内的孔洞直径为0.113英寸。在5英寸半径外的那些孔洞具有直径为0.141英寸。构造2由具有自中心点至外缘而增大密度的420个孔洞所组成。该等孔洞具有直径为0.113英寸。在所有情形,介于上与下挡板的间的距离是0.5英寸,且于基板与下挡板的间的距离是0.5英寸。选择暴露至等离子体的时间以移除约为一半的光致抗蚀剂厚度。不均匀度的测量是运用现有技术而决定跨于基板的49个点所移除的厚度,并接着将针对该49个点的标准偏差除以平均值且表示该结果为一个百分率。结果显示于表1。
表1
挡板组件处理温度(摄氏度数)不均匀度(%)
控制         270        14.95
构造1        270        8.18
构造2        270        10.83
控制         330        9.61
构造1        330        3.43
构造2         330       7.38
结果显示借助提高孔洞的密度对等离子体灰化均匀度有重大改良,且借助增大自该下挡板的中央点至外缘的孔洞的尺寸而改良更显著。
尽管公开的内容已经参照较佳实施例而说明,本领域技术人员将了解的是,可进行种种的变化且可用等效物代替其元件,而未偏离本发明的范畴。此外,可进行诸多的修改以适于本发明的公开的一特定情况或材料,而未偏离其根本的范畴。因此,本发明应不限于预期实施此发明的最佳模式所公开的特定实施例,而是本发明将包括属于随附的权利要求范畴内的所有实施例。

Claims (80)

1.一种轴向流下游等离子体处理装置,用于处理一基板,其以组合形式包含:
气体源;
等离子体产生构件,流体连通于该气体源,该等离子体产生构件包含等离子体管与耦接至该等离子体管的等离子体产生器,以自该气体源在该等离子体管内产生等离子体;
处理室,流体连通于该等离子体管,在该处理室的入口附近包含一挡板组件,其中,该挡板组件包含大致平坦的上挡板,该上挡板固定定位于一大致平坦的下挡板上方,该下挡板包含设于一中央轴周围的多个孔洞,该多个孔洞各个的尺寸自该中央轴至该下挡板的外缘增大,该挡板组件定位为大致平行于该基板,且该上挡板具有比下挡板小的直径;及
排放导管,居中位于该处理室的一底壁。
2.如权利要求1的轴向流下游等离子体处理装置,还包括:一气体净化器,位于该气体源与该等离子体产生构件的中间。
3.如权利要求1的轴向流下游等离子体处理装置,还包括:至少一个加热灯,定位于基板的下方且光学连通于处理室的一底板,其中,该底板由对于可见光及/或红外线辐射为基板透明的材料而形成。
4.如权利要求1的轴向流下游等离子体处理装置,还包括:耦接至排放导管的一后燃烧组件,其中,该排放导管包含位于处理室与后燃烧组件的中间的一气体端口。
5.如权利要求4的轴向流下游等离子体处理装置,其中,该后燃烧组件包含用于在排放导管内产生等离子体的机构。
6.如权利要求5的轴向流下游等离子体处理装置,其中,该后燃烧组件包含:缠绕于该排放导管的外部的射频线圈、电连通于该射频线圈的配线盒、以及电连通于该配线盒的电源供应器。
7.如权利要求1的轴向流下游等离子体处理装置,还包括:耦接至排放导管的光学检测系统。
8.如权利要求1的轴向流下游等离子体处理装置,其中,该等离子体产生构件包含:分隔为数个分段的微波封壳,各个分段中具有开口以容纳该等离子体管;用于提供一预定频率的微波功率至诸个分段的机构。
9.如权利要求1的轴向流下游等离子体处理装置,其中,该气体源基本是无氮与无氧的。
10.如权利要求1的轴向流下游等离子体处理装置,还包括:流体连通于该等离子体管的第二气体源,其中,该第二气体源包含用于该处理室的就地式清洁的氧化气体。
11.如权利要求1的轴向流下游等离子体处理装置,其中,该等离子体管具有比上挡板的直径小的开口直径。
12.如权利要求1的轴向流下游等离子体处理装置,其中,该上挡板包含:无孔洞的中央区域。
13.如权利要求1的轴向流下游等离子体处理装置,其中,该上挡板与该处理室的上壁间形成充实空间。
14.如权利要求1的轴向流下游等离子体处理装置,还包括:耦接至处理室的一负载闸室、及连通于该负载闸室的一子室,其中,该子室包含至少一个机械手,该机械手具有在该子室内的一主要枢轴。
15.如权利要求1的轴向流下游等离子体处理装置,还包括:一负载闸室及大约设于该负载闸室的中央的一冷板。
16.一种轴向流下游等离子体处理装置,用于处理一基板,其以组合方式包含:
气体源;
等离子体产生构件,流体连通于该气体源,该等离子体产生构件包含一等离子体管与耦接至该等离子体管的等离子体产生器,以自该气体源在该等离子体管内产生等离子体;
处理室,流体连通于该等离子体管,在该处理室的一入口附近包含一挡板组件,其中,该挡板组件包含大致平坦的上挡板,该上挡板固定地定位于一大致平坦的下挡板的上方,该下挡板包含设于一中央轴周围的多个孔洞,该多个孔洞在密度上为自该中央轴至该下挡板的外缘增大,且该上挡板具有比下挡板小的直径;及
排放导管,居中位于该处理室的底壁。
17.如权利要求16的轴向流下游等离子体处理装置,还包括:气体净化器,位于该气体源与该等离子体产生构件的中间。
18.如权利要求16的轴向流下游等离子体处理装置,还包括:至少一个加热灯,定位于基板的下方且光学连通于处理室的底板,其中,该底板由对于红外线辐射基本透明的材料形成。
19.如权利要求16的轴向流下游等离子体处理装置,其中,该等离子体管具有比上挡板的直径小的开口直径。
20.如权利要求16的轴向流下游等离子体处理装置,还包括:耦接至排放导管的后燃烧组件,其中,该排放导管包含位于处理室与后燃烧组件中间的气体端口。
21.如权利要求20的轴向流下游等离子体处理装置,其中,该后燃烧组件包含用于在排放导管内产生等离子体的机构。
22.如权利要求20的轴向流下游等离子体处理装置,其中,该后燃烧组件包含:缠绕于该排放导管的外部的射频线圈、电连通于该射频线圈的配线盒、与电连通于该配线盒的电源供应器。
23.如权利要求16的轴向流下游等离子体处理装置,还包括:耦接至排放导管的光学检测系统。
24.如权利要求16的轴向流下游等离子体处理装置,其中,该等离子体产生器包含:分隔为数个分段的微波封壳,各个分段中具有开口以容纳该等离子体管;用于提供一预定频率的微波功率至诸个分段的机构。
25.如权利要求16的轴向流下游等离子体处理装置,其中,该气体源基本是无氮与无氧的。
26.如权利要求16的轴向流下游等离子体处理装置,还包括:流体连通于该等离子体管的第二气体源,其中,该第二气体源包含用于该处理室的就地式清洁的氧化气体。
27.如权利要求16的轴向流下游等离子体处理装置,还包括:耦接至该处理室的负载闸室及连通于该负载闸室的一子室,其中,该子室包含至少一个机械手,该机械手具有位于该子室内的主要枢轴。
28.如权利要求16的轴向流下游等离子体处理装置,还包括:负载闸室及大约设于该负载闸室中央的一冷板。
29.一种轴向流下游等离子体处理装置,用于处理含有碳及/或氢的低k介电层的基板,这种等离子体处理装置以组合方式包含:
气体源,包含基本无氮及无氧的气体;
气体净化器,流体连通于该气体源,适于自该基本无氮及无氧的气体减少含氮的物质与含氧的物质;
等离子体产生构件,流体连通于该气体净化器,该等离子体产生构件包含等离子体管与耦接至该等离子体管的等离子体产生器,以由净化后的无氮及无氧的气体在该等离子体管产生等离子体;
处理室,流体连通于该等离子体管,在该处理室的入口包含一挡板组件,所述挡板包括上挡板和下挡板,该上挡板具有比下挡板小的直径;
排放导管,居中位于该处理室的底壁,包含流体连通于氧化气体源的气体端口;
后燃烧组件,耦接至该排放导管,且适于在该排放导管内产生氧化等离子体;及
光学检测系统,耦接至该排放导管,包含聚集光学器件,其聚焦于由该氧化等离子体所提供的等离子体排放区域内。
30.如权利要求29的轴向流下游等离子体处理装置,其中,该排放导管由对于光学检测系统所监视的波长光学透明的材料形成。
31.如权利要求29的轴向流下游等离子体处理装置,其中,该等离子体产生器包含:分隔为数个分段的微波封壳,各个分段中具有开口以容纳该等离子体管;用于提供一预定频率的微波功率至诸个分段的机构。
32.如权利要求29的轴向流下游等离子体处理装置,其中,该后燃烧组件包含:缠绕于该排放导管外部的一射频线圈、电连通于该射频线圈的一配线盒以及电连通于该配线盒的电源供应器。
33.如权利要求29的轴向流下游等离子体处理装置,其中,该基本无氮及无氧的气体包含:烃气体、氢、氦或包含上述气体的至少之一的组合。
34.如权利要求29的轴向流下游等离子体处理装置,其中,该光学检测系统包含分光计或单色仪。
35.如权利要求29的轴向流下游等离子体处理装置,还包括:加热灯阵列,位于基板的下方且光学连通于处理室的一底板,其中,该底板由对于加热灯阵列所发射的可见光及/或红外线辐射基本透明的材料形成。
36.一种等离子体处理室,用于处理其容纳的基板,包含:
挡板组件,包含大致平坦的上挡板,该上挡板固定地设于大致平坦的下挡板的上方,该上挡板小于下挡板,该下挡板包含设于一中央轴周围的多个孔洞,其中,该多个孔洞各个的尺寸从该中央轴至该下挡板的外缘增大,且该挡板组件定位为大致平行于该基板。
37.如权利要求36的等离子体处理室,其中,该上挡板含有一无孔洞的中央部位。
38.如权利要求36的等离子体处理室,其中,该上挡板由石英、蓝宝石、陶瓷、或覆有蓝宝石的石英形成。
39.如权利要求36的等离子体处理室,其中,该上挡板包含多个孔洞。
40.如权利要求39的等离子体处理室,其中,上挡板的多个孔洞的尺寸大于下挡板的多个孔洞。
41.如权利要求39的等离子体处理室,其中,该下挡板由阳极化的铝、蓝宝石、石英、或陶瓷材料形成。
42.一种等离子体处理室,用于处理其中容纳的基板,包含:
挡板组件,包含大致平坦的上挡板,该上挡板固定地设于大致平坦的下挡板的上方,该上挡板小于下挡板,该下挡板包含设于一中央轴周围的多个孔洞,其中,该多个孔洞在密度上从该中央轴至该下挡板的外缘增大。
43.如权利要求42的等离子体处理室,其中,该上挡板含有无孔洞的中央部位。
44.如权利要求42的等离子体处理室,其中,该上挡板由石英、蓝宝石、陶瓷、或覆有蓝宝石的石英形成。
45.如权利要求42的等离子体处理室,其中,该上挡板包含多个孔洞。
46.如权利要求45的等离子体处理室,其中,上挡板的多个孔洞尺寸大于下挡板的多个孔洞。
47.如权利要求42的等离子体处理室,其中,该下挡板由阳极化的铝、蓝宝石、石英、或陶瓷材料形成。
48.一种挡板组件,用于分配气体流至含有欲作处理的半导体晶片的相邻处理室,包含:
大致为平坦的上挡板,该上挡板固定地设于一大致平坦的下挡板的上方,该上挡板小于下挡板,该下挡板包含设于一中央轴周围的多个孔洞,其中,该多个孔洞在密度上从该中央轴至该下挡板的外缘增大。
49.如权利要求48的挡板组件,其中,该半导体晶片含有基于碳及/或氢的低k介电层。
50.如权利要求48的挡板组件,其中,该上挡板由一覆有蓝宝石的石英材料形成,且下挡板由阳极化的铝形成。
51.如权利要求48的挡板组件,其中,该上挡板包含呈同心多层圆形图案的多个孔洞以及无孔洞的中央区域。
52.一种挡板组件,用于分配气体流至含有欲作处理的半导体晶片的相邻处理室,包含:
大致平坦的上挡板,其固定地设于一大致平坦的下挡板的上方,该上挡板小于下挡板,该下挡板包含设于一中央轴周围的多个孔洞,其中,该多个孔洞各个的一尺寸从该中央轴至该下挡板的外缘而增大。
53.如权利要求52的挡板组件,其中,该半导体晶片具有含碳及/或氢的低k介电层。
54.如权利要求52的挡板组件,其中,该上挡板由石英、蓝宝石、或陶瓷材料形成,且该下挡板由阳极化的铝、蓝宝石、石英、或陶瓷材料形成。
55.如权利要求54的挡板组件,其中,该石英材料还包括一蓝宝石覆层。
56.如权利要求52的挡板组件,其中,该上挡板包含呈同心多层圆形图案的多个孔洞以及无孔洞的中央区域。
57.一种用于均匀分配激发物质至基板的方法,该激发物质产生于无氧及无氮的等离子体,该方法包含:
将该激发物质引入至一等离子体处理室,其中,该等离子体处理室包含挡板组件以接收该激发物质,该挡板组件包含一大致平坦的上挡板,该上挡板固定地设于一大致平坦的下挡板的上方,该下挡板包含设于一中央轴周围的多个孔洞,该多个孔洞各个的尺寸从该中央轴至该下挡板的外缘增大,且该上挡板具有比下挡板小的直径;及
将该基板暴露于流通过该挡板组件后的该等激发物质。
58.一种用于均匀分配激发物质至基板的方法,该激发物质产生于无氧及无氮的等离子体,该方法包含:
引入该激发物质至一等离子体处理室,其中,该等离子体处理室包含一挡板组件以接收该激发物质,该挡板组件包含一大致平坦的上挡板,该上挡板固定地设于一大致平坦的下挡板的上方,使得该上挡板具有比下挡板小的直径,该下挡板包含设于一中央轴周围的多个孔洞,且该多个孔洞于密度上从该中央轴至该下挡板的外缘增大;及
将该基板暴露于流通过该挡板组件后的该激发物质。
59.一种等离子体灰化方法,用于自含有碳及/或氢的低k介电层的基板移除光致抗蚀剂材料与蚀刻后的残留物,该种方法包含:
使基本无氧及无氮的气体流至气体净化器,以形成具有降低污染物程度的净化后的气体;
自该净化后的气体形成等离子体;
将等离子体引入至一处理室,其中,该处理室包含一挡板组件以接收等离子体,该挡板组件包含大致平坦的上挡板,该上挡板固定地设于大致平坦的下挡板的上方,使得所述上挡板具有比所述下挡板小的直径,该下挡板包含设于一中央轴周围的多个孔洞,且该多个孔洞在密度上从该中央轴至该下挡板的外缘增大;
使该等离子体流过该挡板组件,且暴露该基板以从该基板移除光致抗蚀剂材料、蚀刻后的残留物与挥发性的副产物;
排出被移除的光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物至该处理室的位于中央的排放导管;
选择性引入氧化气体至该排放导管;
由该氧化气体及被移除的光致抗蚀剂材料、蚀刻后的残留物、与挥发性的副产物形成等离子体;
光学监视产生于该排放导管等离子体的发射信号;及
由观察到的该发射信号的变化检测该光致抗蚀剂材料与蚀刻后的残留物的终点。
60.如权利要求59的等离子体灰化方法,其中,该基本无氧及无氮的气体包含:氢、氦、氩、氖或包含上述气体中至少一个的组合。
61.如权利要求59的等离子体灰化方法,其中,使基本无氧及无氮的气体流动至气体净化器内以形成净化后的气体的步骤包含降低无氧及无氮的气体中H2O、O2、CO、CO2与N2的程度至小于约为百万分之10的量。
62.如权利要求59的等离子体灰化方法,还包括:自该处理室移出该基板至一负载闸室,且冷却该基板。
63.一种等离子体灰化方法,用于自含有碳及/或氢的低k介电层的基板移除光致抗蚀剂材料与蚀刻后的残留物,该方法包含:
使基本无氧及无氮的气体流动至一气体净化器,以形成具有降低污染物程度的净化后的气体;
自该净化后的气体形成等离子体;
将等离子体引入至一处理室,其中,该处理室包含挡板组件以接收等离子体,该挡板组件包含大致平坦的上挡板,该上挡板固定地设于一大致平坦的下挡板的上方,该下挡板包含配置于一中央轴周围的多个孔洞,且该多个孔洞各个的尺寸自该中央轴至该下挡板的外缘增大,所述挡板组件配置成使得所述上挡板小于所述下挡板;
使该等离子体流过该挡板组件,且暴露该基板以自该基板移除光致抗蚀剂材料、蚀刻后的残留物与挥发性的副产物;
排出被移除的光致抗蚀剂材料、蚀刻后的残留物与挥发性的副产物至该处理室的底壁中的位于中央的排放导管;
选择性地将氧化气体引入到该排放导管内;
由该氧化气体及被移除的光致抗蚀剂材料、蚀刻后的残留物与挥发性的副产物形成等离子体;
光学监视产生于该排放导管的等离子体内的发射信号;及
由观察到的该发射信号的变化检测该光致抗蚀剂材料与蚀刻后的残留物的终点。
64.如权利要求63的等离子体灰化方法,其中,该基本无氧及无氮的气体包含氢、氦、氩、氖或包含上述气体的至少一个的组合。
65.一种下游等离子体处理装置,用于处理一基板,其以组合方式包含:
气体源;
等离子体产生构件,流体连通于该气体源,该等离子体产生构件包含等离子体管以及耦接至该等离子体管的等离子体产生器,以自该气体源在该等离子体管产生等离子体;
处理室,流体连通于该等离子体管;及
气体净化器,位于该气体源与该等离子体产生器的中间,
其中,该处理室在该处理室的入口附近还包括一挡板组件,该挡板组件包含一大致平坦的上挡板,该上挡板固定地设于一大致平坦的下挡板的上方,该下挡板包含设于一中央轴周围的多个孔洞,且该多个孔洞各个的尺寸自该中央轴至该下挡板的外缘增大,该挡板组件定位为大致平行于该基板,且所述上挡板小于所述下挡板。
66.一种下游等离子体处理装置,用于处理一基板,其以组合方式包含:
气体源;
等离子体产生构件,流体连通于该气体源,该等离子体产生构件包含等离子体管以及耦接至该等离子体管的等离子体产生器,以自该气体源在该等离子体管产生等离子体;
处理室,流体连通于该等离子体管;及
气体净化器,位于该气体源与该等离子体产生器的中间,
其中,该处理室在该处理室的入口附近还包括一挡板组件,该挡板组件包含一大致平坦的上挡板,该上挡板固定地设于一大致平坦的下挡板的上方,该下挡板包含设于一中央轴周围的多个孔洞,且该多个孔洞在密度上自该中央轴至该下挡板的外缘增大。
67.如权利要求65的等离子体处理装置,还包括:至少一个加热灯,它设于基板的下方且光学连通于处理室的一底板,其中,该底板由对于可见光及/或红外线辐射基本透明的材料形成。
68.如权利要求65的等离子体处理装置,还包括:位于该处理室的底壁的中央的排放导管,其中,该排放导管还包括耦接至排放导管的后燃烧组件。
69.如权利要求68的等离子体处理装置,其中,该后燃烧组件包含用于在排放导管内产生等离子体的机构。
70.如权利要求68的等离子体处理装置,其中,该后燃烧组件包含:缠绕于该排放导管的外部的射频线圈、电连通于该射频线圈的配线盒以及电连通于该配线盒的电源供应器。
71.如权利要求68的等离子体处理装置,还包括:耦接至排放导管的光学检测系统。
72.如权利要求65的等离子体处理装置,其中,该等离子体产生构件包含:分隔为数个分段的微波封壳,各个分段当中具有一开口以容纳该等离子体管;用于提供一预定频率的微波功率至诸个分段的机构。
73.如权利要求65的等离子体处理装置,其中,该气体源是基本无氮与无氧的。
74.如权利要求65的等离子体处理装置,其中,该气体源基本上由氢与氦组成。
75.如权利要求65的等离子体处理装置,还包括:流体连通于等离子体管的第二气体源,其中,该第二气体源包含用于处理室的就地式清洁的氧化气体且非流体连通于该气体净化器。
76.如权利要求66的等离子体处理装置,其中,该等离子体管具有比上挡板的直径小的开口直径。
77.如权利要求66的等离子体处理装置,其中,该上挡板包含:无孔洞的中央区域以及设于该中央区域周围的多个孔洞。
78.如权利要求66的等离子体处理装置,其中,该上挡板与该处理室的上壁间形成充实空间。
79.如权利要求65的等离子体处理装置,还包括:耦接至处理室的负载闸室、及连通于该负载闸室的一子室,其中,该子室包含至少一个机械手,该机械手具有位于该子室内的主要枢轴。
80.如权利要求65的等离子体处理装置,还包括:负载闸室以及大致设于该负载闸室中央的一冷板。
CN2004800140618A 2003-05-22 2004-05-21 等离子体装置,用于等离子体装置的气体分布组件及其方法 Expired - Fee Related CN1795530B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/249,962 US8580076B2 (en) 2003-05-22 2003-05-22 Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US10/249,962 2003-05-22
PCT/US2004/016076 WO2004107414A2 (en) 2003-05-22 2004-05-21 Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Publications (2)

Publication Number Publication Date
CN1795530A CN1795530A (zh) 2006-06-28
CN1795530B true CN1795530B (zh) 2010-12-01

Family

ID=33449401

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800140618A Expired - Fee Related CN1795530B (zh) 2003-05-22 2004-05-21 等离子体装置,用于等离子体装置的气体分布组件及其方法

Country Status (8)

Country Link
US (1) US8580076B2 (zh)
EP (2) EP1625605B1 (zh)
JP (1) JP4780411B2 (zh)
KR (1) KR101127714B1 (zh)
CN (1) CN1795530B (zh)
DE (1) DE602004032225D1 (zh)
TW (1) TWI273655B (zh)
WO (1) WO2004107414A2 (zh)

Families Citing this family (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
JP2009518854A (ja) * 2005-12-07 2009-05-07 アクセリス テクノロジーズ インコーポレーテッド 基板損失のない表面層除去のための中圧プラズマシステム
CN100376723C (zh) * 2005-12-08 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 增进流场均匀性的屏蔽板
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
KR100796980B1 (ko) * 2007-01-17 2008-01-22 피에스케이 주식회사 기판 처리 장치 및 방법
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4952375B2 (ja) 2007-05-23 2012-06-13 株式会社明電舎 レジスト除去方法及びその装置
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
WO2009020881A1 (en) * 2007-08-07 2009-02-12 Pivotal Systems Corporation Method and apparatus for identifying the chemical composition of a gas
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
CN102395704B (zh) * 2009-02-13 2014-02-19 盖利姆企业私人有限公司 等离子体沉积
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8307943B2 (en) 2010-07-29 2012-11-13 General Electric Company High pressure drop muffling system
GB2492849A (en) * 2010-07-29 2013-01-16 Gen Electric A high pressure drop muffling system
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
KR101201697B1 (ko) * 2010-09-20 2012-11-15 에스엔유 프리시젼 주식회사 모노머 냉각트랩 및 이를 이용하는 모노머 증착장치
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
JP5256328B2 (ja) * 2010-10-28 2013-08-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
TWI563552B (en) * 2011-04-28 2016-12-21 Lam Res Corp Substantially non-oxidizing plasma treatment devices and processes
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN103031543B (zh) * 2011-09-30 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种上电极及应用该上电极的等离子体加工设备
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8430202B1 (en) 2011-12-28 2013-04-30 General Electric Company Compact high-pressure exhaust muffling devices
US9057388B2 (en) * 2012-03-21 2015-06-16 International Business Machines Corporation Vacuum trap
CN103367510A (zh) * 2012-03-30 2013-10-23 生阳新材料科技有限公司 冷却板
US8511096B1 (en) 2012-04-17 2013-08-20 General Electric Company High bleed flow muffling system
US9399951B2 (en) 2012-04-17 2016-07-26 General Electric Company Modular louver system
US8550208B1 (en) 2012-04-23 2013-10-08 General Electric Company High pressure muffling devices
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9548227B2 (en) * 2013-10-30 2017-01-17 Nisene Technology Group Microwave induced plasma decapsulation using a dielectric plasma discharge tube
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9666415B2 (en) * 2015-02-11 2017-05-30 Ford Global Technologies, Llc Heated air plasma treatment
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
WO2017131404A1 (ko) * 2016-01-26 2017-08-03 주성엔지니어링(주) 기판처리장치
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10435787B2 (en) * 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107460116A (zh) * 2017-08-31 2017-12-12 同济大学苏州研究院 一种用于精子优选的精子上游装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
US11515147B2 (en) 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
JP7493389B2 (ja) * 2020-06-10 2024-05-31 東京エレクトロン株式会社 成膜装置および成膜方法
CN115666005B (zh) * 2022-12-15 2023-02-24 赛福仪器承德有限公司 等离子体蚀刻机

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
CN1199242A (zh) * 1997-03-27 1998-11-18 松下电器产业株式会社 等离子体处理的方法及装置
EP1061155A1 (en) * 1998-03-06 2000-12-20 Tokyo Electron Limited Vacuum processing apparatus
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US20020144785A1 (en) * 2001-04-06 2002-10-10 Srivastava Aseem Kumar Method and apparatus for micro-jet enabled, low-energy ion generation and transport in plasma processing
US20030012624A1 (en) * 2001-07-13 2003-01-16 Kinnard David William Wafer transport apparatus

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12624A (en) * 1855-04-03 Island
US32300A (en) * 1861-05-14 Denis lenain
US3141739A (en) * 1959-05-14 1964-07-21 Nuclear Technical Services Cor Gas purification
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
DE3248670A1 (de) * 1982-12-30 1984-07-05 Hoechst Ag, 6230 Frankfurt Polyestermehrschichtfolie mit thermoplastisch praegbarer innenschicht
JPS62294180A (ja) 1986-06-13 1987-12-21 Canon Inc プラズマcvd法による堆積膜形成装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JPH0631104A (ja) * 1992-07-21 1994-02-08 Toshiba Corp 高純度ガス精製方法
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
JP2922757B2 (ja) * 1993-09-10 1999-07-26 フュージョン システムズ コーポレイション 触媒反応器を有するレジスト酸化装置
US5498308A (en) 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
JP2872637B2 (ja) * 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
WO1997003745A1 (en) * 1995-07-21 1997-02-06 Ultrapure Systems, Inc. Single gas purifier vessel and heat exchanger
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5928426A (en) 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
JP2000501573A (ja) 1996-09-24 2000-02-08 フュージョン システムズ コーポレイション サファイヤダウンストリームプラズマアッシャーにおける弗素援助型剥離及び残留物除去
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6190507B1 (en) 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6422002B1 (en) * 1999-07-23 2002-07-23 The United States Of America As Represented By The United States Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
WO2001072377A2 (en) * 2000-03-24 2001-10-04 Applied Materials, Inc. Treatment of hazardous gases in effluent
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
CN1216415C (zh) * 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6538734B2 (en) * 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
JP2002184758A (ja) * 2000-12-13 2002-06-28 Seiko Epson Corp ドライエッチング装置
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
CN1199242A (zh) * 1997-03-27 1998-11-18 松下电器产业株式会社 等离子体处理的方法及装置
EP1061155A1 (en) * 1998-03-06 2000-12-20 Tokyo Electron Limited Vacuum processing apparatus
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US20020144785A1 (en) * 2001-04-06 2002-10-10 Srivastava Aseem Kumar Method and apparatus for micro-jet enabled, low-energy ion generation and transport in plasma processing
US20030012624A1 (en) * 2001-07-13 2003-01-16 Kinnard David William Wafer transport apparatus

Also Published As

Publication number Publication date
KR20060003121A (ko) 2006-01-09
CN1795530A (zh) 2006-06-28
EP2278608B1 (en) 2012-06-20
WO2004107414A3 (en) 2005-08-11
TW200509246A (en) 2005-03-01
WO2004107414A2 (en) 2004-12-09
JP4780411B2 (ja) 2011-09-28
JP2007501535A (ja) 2007-01-25
KR101127714B1 (ko) 2012-03-23
DE602004032225D1 (de) 2011-05-26
TWI273655B (en) 2007-02-11
EP1625605A2 (en) 2006-02-15
EP2278608A2 (en) 2011-01-26
EP1625605B1 (en) 2011-04-13
US20040238123A1 (en) 2004-12-02
EP2278608A3 (en) 2011-02-23
US8580076B2 (en) 2013-11-12

Similar Documents

Publication Publication Date Title
CN1795530B (zh) 等离子体装置,用于等离子体装置的气体分布组件及其方法
CN1802722B (zh) 等离子体灰化装置和终点检测方法
US20130248113A1 (en) Substantially non-oxidizing plasma treatment devices and processes
KR100704108B1 (ko) 무산소 플라즈마 공정에서의 종점 검출 방법
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
US6517913B1 (en) Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
KR101170861B1 (ko) 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
KR101392646B1 (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
WO2012148370A1 (en) Substantially non-oxidizing plasma treatment devices and processes
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: LAM RES CORP.

Free format text: FORMER OWNER: ESSELIS TECHNOLOGIES CO.

Effective date: 20131009

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20131009

Address after: American California

Patentee after: Lam Research Corp.

Address before: Massachusetts, USA

Patentee before: Esselis Technologies Co.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101201

Termination date: 20140521