KR101392646B1 - 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법 - Google Patents

저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법 Download PDF

Info

Publication number
KR101392646B1
KR101392646B1 KR1020060086373A KR20060086373A KR101392646B1 KR 101392646 B1 KR101392646 B1 KR 101392646B1 KR 1020060086373 A KR1020060086373 A KR 1020060086373A KR 20060086373 A KR20060086373 A KR 20060086373A KR 101392646 B1 KR101392646 B1 KR 101392646B1
Authority
KR
South Korea
Prior art keywords
oxygen
hydrogen
plasma
photoresist
dielectric material
Prior art date
Application number
KR1020060086373A
Other languages
English (en)
Other versions
KR20070029085A (ko
Inventor
크리스티안 파두라루
앨런 젠센
데이비드 셰퍼
로버트 챠라탄
톰 초이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070029085A publication Critical patent/KR20070029085A/ko
Application granted granted Critical
Publication of KR101392646B1 publication Critical patent/KR101392646B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

산소 스트립 플라즈마에서 생성된 산소원자는 유전체 에칭 후 잔여물을 스트립 하는 동안 저유전상수 유전 물질과 반응하여, 저유전상수 유전 물질을 손상시킨다. 수소 스트립 플라즈마를 사용하면, 유전체 에칭 후 잔여물을 스트립 하는 동안 저유전상수 유전 물질의 손상이 적은 반면, 수소 스트립 플라즈마는 낮은 스트립 속도를 나타낸다. 수소 대 산소의 비율을 저유전상수 유전 물질 손상을 피하도록 유지하면서, 수소 스트립 플라즈마에 산소를 포함시키는 것은 포토레지스트 스트립 속도 및 균일도를 개선한다.
포토레지스트, 에칭 후 잔여물, 저유전상수 유전 물질, 산소 스트립 플라즈마, 수소 스트립 플라즈마

Description

저유전상수 유전 물질로부터 포토레지스트 및 에칭 후 잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법 {A GAS MIXTURE FOR REMOVING PHOTORESIST AND POST ETCH RESIDUE FROM LOW-K DIELECTRIC MATERIAL AND METHOD OF USE THEREOF}
도 1은 특히, 반응성 종을 포함하는 플라즈마가 내부에서 생성되는 애플리케이터, 그것을 통해서 반응성 종이 반응 챔버 내로 도입되는 배플 (baffle), 및 반응성 종이 반응 챔버 내로 도입되기 전에 반응성 종에 노출되는 챔버 라이너를 구비하는 마이크로파 반응 챔버를 도시한 도면.
도 2는 배플을 포함하는 레지스트 스트립 챔버의 실시형태를 도시한 도면이고, 도 3은 배플의 실시형태를 도시한 도면이며, 도 4는 도 3에서 도시한 배플 위에 위치한 라이너를 도시한 도면.
도 5는 도 1의 장치 내에서 헬륨, 수소 및 산소로 형성된 플라즈마에서 산소 유량에 따른 함수로서 수소의 강도를 도시한 도면.
도 6과 도 7은 도 1의 장치 내에서, 헬륨과 수소로부터 형성된 플라즈마 및 헬륨, 수소 및 산소로부터 형성된 플라즈마에서 시간의 함수로서 수소의 강도를 도시한 도면.
도 8은 저유전상수 유전 물질로부터 잔여물 제거의 주사 전자 현미경 (SEM; Scanning Electron Microscopy) 결과를 도시한 도면. 도 8a는 6240 sccm (Standard Cubic Centimeters per Minute) He과 260 sccm H2를 사용하여 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한 도면. 도 8b는 6240 sccm He, 260 sccm H2, 및 5 sccm O2를 사용하여 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한 도면. 도 8c는 6240 sccm He, 260 sccm H2, 및 20 sccm O2를 사용하여 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한 도면.
도 9는 포토레지스트 스트립 속도에서 포토레지스트 수축율을 뺀 것과, 6240 sccm He, 260 sccm H2, 및 0 sccm O2, 또는 5 sccm O2, 또는 20 sccm O2를 사용해서 저유전상수 유전 물질로부터의 잔여물 제거의 균일도를 도시한 도면.
*도면의 주요부분에 대한 부호의 설명*
10: 레지스트 스트립 챔버 12: 측벽
14: 바닥 벽 16: 덮개
18: 진공 포트 20: 기판 지지대
22: 기판 26: 기판 엔트리 포트
30: 플라즈마 소스 32: 통로
34: 원격 에너지 소스 36: 스트립 가스 소스
38: 마이크로파 40: 도파관
42: 프로세스 가스 44: 개구부
50: 배플 52: 중심부
54: 상면 56, 58: 직행 통로
60: 주변부 62: 플랜지
64: 원주방향으로 분할된 구멍 65: 원주방향으로 분할된 스페이서
66: 패스너 68: 최상부 표면
70: 라이너 72: 상면
74: 플레넘
종래의 유전체 에칭 프로세싱 과정 동안에는, 유전체 층을 갖는 반도체 기판이 포토레지스트 및 하드 마스크와 같은 희생 마스크 층으로 마스킹되고, 마스크로 보호되지 않은 영역의 유전체가 에칭된 후, 마스크로부터 잔류한 잔여물 및 포토레지스트로부터의 잔여물과 같은 에칭 프로세스에 의해 발생한 잔여물이 제거된다.
포토레지스트 및 에칭 후 잔여물을 상부에 갖는 저유전상수 유전 물질 층을 갖는 반도체 기판을 다운스트림 반응 챔버에 도입하는 단계, 및 업스트림 애플리케이터 내에 반응성 종 (reactive species) 을 포함하는 플라즈마를 생성하는 단계를 포함하는, 저유전상수 유전 물질의 층을 갖는 반도체 기판으로부터 포토레지스트 및 에칭 후 잔여물을 제거하는 방법이 제공된다. 반응성 종은 수소원자와 산소원자를 포함하며, 산소원자는 플라즈마에 노출된 애플리케이터 표면을 패시베이션 하고, 플라즈마는 산소, 수소, 및 불활성 캐리어 가스를 포함하는 가스 혼합물로부터 생성되고, 수소 대 산소의 체적 비는 2:1보다 크게 한다. 반응성 종은 다운스트림 반응 챔버에 도입되고, 수소원자는 저유전상수 물질로부터 포토레지스트 및 에칭 후 잔여물을 제거한다.
또한 체적 비가 2:1보다 큰 수소 및 산소와, 불활성 캐리어 가스를 포함하는 저유전상수 유전 물질의 층을 가지는 반도체 기판으로부터 포토레지스트 및 에칭 후 잔여물을 제거하기 위한 가스 혼합물이 제공된다. 가스 혼합물로부터 생성된 플라즈마는 수소원자와 산소원자를 포함하며, 산소원자는 플라즈마에 노출된 표면을 페시베이션 하고, 수소원자는 저유전상수 물질로부터 포토레지스트 및 에칭 후 잔여물을 제거한다.
산소 스트립 플라즈마는 유전체가 SiO2의 형태일 때, 산소 스트립 플라즈마가 높은 속도로 잔여물을 제거하고 유전체를 손상시키지 않으므로 에칭 후 유전체 잔여물을 스트립 할 때 유용하다. 한편, 유전체가 저유전상수 유전 물질일 때, 이러한 물질은 통상적으로 탄소를 함유하고 플라즈마의 산소원자가 탄소와 반응하여 저유전상수 유전 물질에 손상을 주므로 산소 스트립 플라즈마의 사용은 유용하지 않다.
"저유전상수 유전체" 물질은 여기서 유전상수 k가 3 미만인 물질로 정의한다. 저유전상수 유전 물질은, 벤조시클로부텐 또는 BCB; 미네소타 미니애폴리스에 소재한 허니웰의 분사인 미국 뉴저지주 모리스타운 소재의 Allied Signal
Figure 112011073179395-pat00001
에서 제조된 FlareTM; 미국 코넷티컷주 댄버리에 소재하는 Union Carbide
Figure 112011073179395-pat00002
사로부터 입수 가능한 하나 이상의 파릴렌 2량체; 폴리테트라플루오로에틸렌 또는 PTFE; 및 SiLK
Figure 112011073179395-pat00003
를 포함하지만, 이에 한정되는 것은 아니다. 유기 저유전상수 물질 중 흥미로운 종류는 유기실리케이트 유리 (Organosilicate Glass) 또는 OSG를 포함하는 화합물이다. 예컨대, 유기실리케이트 유전체는 미국 캘리포니아주 산호세에 소재하는 Novellus사의 CORALTM, 미국 캘리포니아주 산타클라라에 소재하는 Applied Marterials사의 Black DiamondTM, 미국 캘리포니아주 산타클라라에 소재하는 스미토모 케미컬 아메리카사로부터 입수가능한 Sumika Film
Figure 112011073179395-pat00004
, 미국 뉴저지주 모리스타운에 소재하는 Allied Signal사의 HOSPTM, 및 캘리포니아주의 서니베일에 소재하는 JSR Micro의 LKD 생성물을 포함하며, 이것에 한정되지는 않는다. 유기실리케이트 유리 물질은 물질의 유전상수를 낮추는 이산화규소 격자에 결합된 탄소와 수소원자를 갖는다.
수소 스트립 플라즈마 또한 에칭 후 유전체 잔여물을 스트립하는데 사용된다. 수소 스트립 플라즈마에 의한 저유전상수 유전 물질의 손상이 산소 스트립 플라즈마에 의할 때에 비하여 극히 작은 반면, 수소 스트립 플라즈마는 산소 스트립 플라즈마보다 낮은 스트립 속도를 나타낸다.
원격 플라즈마 소스, 예를 들면, 바람직한 업스트림 마이크로파 리액터의 사 용은 반도체 기판에 강력한 이온들을 도입하지는 않으나, 수소원자를 반도체 기판에 도입하며, 그에 따라, 저유전상수 유전 물질의 손상을 줄이는데 또한 유용하다. 원격 플라즈마 소스는 공동 소유의 미국 특허 제6,080,270, 6,388,383, 6,692,649, 및 6,777,173호에 기재되어 있으며, 그 전체가 본 명세서에 참조로서 포함된다. 도 1의 업스트림 마이크로파 리액터를 참조하면, 수소원자와 같은 반응성 종을 포함하는 플라즈마가 반응 챔버의 업스트림 애플리케이터에서 생성될 수도 있고 반응성 종이 다운스트림 반응 챔버로 도입될 수도 있다. 반응 챔버가 750 mTorr 내지 1 Torr로 유지될 수도 있는 반면, 애플리케이터 안의 압력은 약 6 Torr로 유지될 수도 있다. 마이크로파 반응 챔버는 또한 스트립 조작 중에 반응성 종에 노출되는 챔버 라이너를 포함할 수도 있다.
수소 스트립 플라즈마에의 산소 포함이 포토레지스트 스트립 속도와 균일도를 개선시키고 수소 대 산소의 비율을 일정하게 유지함으로써 저유전상수 유전 물질의 손상을 피할 수 있음이 알려졌다. 산소원자는 반응성 종에 노출되는 반응 챔버의 표면들, 예를 들면, 애플리케이터, 배플, 또는 챔버 라이너를 패시베이션 해서 노출된 표면에서 수소원자의 재결합을 감소시키는 것으로 생각된다.
따라서, 산소는 이러한 노출된 표면에서 수소원자의 재결합 확률을 크게 낮춰줌으로써 스트립 공정에서 사용 가능한 수소원자의 상대적 양을 증가시켜 포토레지스트 스트립 속도를 간접적으로 올려준다. 노출된 표면을 산소가 패시베이션 함에 따라, 수소원자가 반응할 수 있는 장소가 더욱 적어지게 되므로, 반응 챔버에 분포되는 수소원자의 양은 증가하는 것으로 생각된다. 노출된 표면을 산소가 패시베이션 함에 따라, 산소원자가 패시베이션 할 수도 있는 장소는 적어지고, 결국에는 포화가 발생한다. 따라서, 산소가 더 높은 퍼센티지에 있을 때, 산소원자는 직접적으로 저유전상수 유전 물질과 반응하여 손상을 입힌다. 석영에서 수소의 재결합율이 다른 물질에서의 재결합율보다 낮으므로, 반응성 종에 노출되는 반응 챔버의 표면, 예를 들면, 애플리케이터, 배플, 또는 챔버 라이너는 바람직하게는 석영으로 구성된다.
반응성 종들은 반응성 종에 노출된 표면을 갖는 배플을 통하여 반응 챔버로 분배될 수도 있고, 산소원자는 반응성 종에 노출된 배플의 표면을 패시베이션 할 수도 있어, 반응성 종에 노출된 배플의 표면에서 수소원자의 재결합을 감소시킬 수 있다. 산소원자는 반응 챔버에 도입되기 전에 챔버 라이너의 표면을 패시배이션 할 수도 있어, 챔버 라이너 표면에서 수소원자의 재결합 확률을 감소시킬 수 있다.
도 2는 배플 (50) 이 그 내부에 탑재된 레지스트 스트립 챔버 (10) 의 예시적인 실시형태를 도시한다. 레지스트 스트립 챔버 (10) 는 측벽 (12), 바닥 벽 (14) 및 덮개 (16) 를 포함한다. 덮개 (16) 는, 바람직하게는 청소나 교체, 또는 다른 목적으로 배플 (50) 의 제거를 위해 레지스트 스트립 챔버 (10) 의 내부로 접근하기 위하여 덮개 (16) 가 열릴 수 있도록 측벽 (12) 에 힌지 (hinge) 로 회전 가능하도록 부착된다. 레지스트 스트립 챔버 (10) 는 바닥 벽 (14) 에 진공 포트들 (18) 을 포함한다.
레지스트 스트립 챔버 (10) 는 또한 레지스트 스트립을 하는 동안 웨이퍼와 같은 반도체 기판 (22) 이 상부에 탑재된 기판 지지대 (20) 를 포함한다. 기판 지지대 (20) 는 바람직하게는 기판 (22) 을 고정시키기 위해 구성된 정전척 (electrostatic chuck) 으로 구성된다. 기판 지지대 (20) 는 바람직하게는 기판 (22) 을 레지스트 스트립 공정 중에 적절한 온도로 유지하기 위하여 적합화 된 저항성 가열 소자와 같은 가열기를 포함한다. 기판 (22) 은 측벽 (12) 에 제공되는 기판 엔트리 포트 (26) 를 통하여 레지스트 스트립 챔버 (10) 에 도입되고 제거될 수 있다. 예를 들면, 기판 (22) 은 진공 상태에서 레지스트 스트립 챔버에 인접해서 위치한 에칭 챔버로부터 레지스트 스트립 챔버 (10) 의 내부로 이동될 수 있다.
원격 플라즈마 소스 (30) 는 레지스트 스트립 챔버 (10) 와 유체로 통하도록 정렬된다. 플라즈마 소스 (30) 는 플라즈마를 생성하고 반응성 종을 레지스트 스트립 챔버 (10) 에 연결된 통로 (32) 를 통해 레지스트 스트립 챔버 (10) 내부로 공급할 수 있도록 조작될 수 있다. 플라즈마 소스 (30) 의 설명된 실시형태는 원격 에너지 소스 (34) 와 스트립 가스 소스 (36) 를 포함한다. 에너지 소스 (34) 는 어떤 적절한 소스도 될 수 있으며 바람직하게는 마이크로파 제너레이터이다. 마이크로파 제너레이터를 포함하는 예시적인 장치는 캘리포니아주 프리몬트에 소재한 Lam Research Corporation으로부터 입수 가능하다. 바람직한 실시 형태로, 마이크로파 제너레이터는 2.45 GHz의 주파수에서 동작하고, 바람직하게는 약 500 내지 약 3000W 범위의 전력를 가지며, 더욱 바람직하게는 약 1000 내지 약 1500W 사이의 범위를 갖는다. 화살표 (38) 로 나타낸 마이크로파는 마이크로파 제너레이터 (34) 에 의해 생성되며 도파관 (40) 을 통하여 통로 (32) 로 전파된다.
가스 소스 (36) 는 화살표 (42) 로 나타낸 프로세스 가스를 통로 (32), 또는 가스가 에너지 소스 (34) 에 의해 생성된 마이크로파에 의해 플라즈마 상태로 에너자이징 되는 애플리케이터로 공급하도록 조작될 수 있다. 반응성 종은 개구부 (44) 를 통하여 레지스트 스트립 챔버 (10) 내부로 들어간다.
반응성 종은 반응성 종이 기판 (22) 위로 흘러가기 전에 덮개 (16) 와 기판 지지대 (20) 사이에 위치한 배플 (50) 에 의하여 레지스트 스트립 챔버 (10) 로 분배되고, 레지스트를 스트립한다. 기판 (22) 은 바람직하게는 레지스트 스트립 동안에 기판 지지대 (20) 에 위치한 가열기에 의하여 가열된다. 레지스트 스트립 동안 생성된 폐기물은 배출 포트 (18) 를 통해 레지스트 스트립 챔버 (10) 바깥으로 분출된다.
도 3에 도시된 바와 같이, 배플 (50) 은 원형일 수 있으며, 일체물일 수 있다. 레지스트 스트립 챔버 (10) 는 바람직하게는 단일 웨이퍼 프로세싱을 위해 원통형이다. 원통형 레지스트 스트립 챔버 (10) 에 설치되도록 구성된 경우, 배플이 측벽 (12) 에 의해 지지되도록 배플 (50) 은 바람직하게는, 레지스트 스트립 챔버 (10) 의 내부의 폭, 예를 들면, 직경보다 큰 직경을 갖는다. 배플 (50) 은 상면 (54) 과 직행 통로 (56) 를 가지는 돌출된 중심부 (52) 를 가지는 내부 부분을 포함한다. 배플 (50) 의 예시한 실시형태에서, 중심부 (52) 는 6개의 원주방향으로 이격된 통로 (56) 를 포함한다. 통로 (56) 의 수는 다른 실시형태에서 6보다 많거나 적을 수 있다. 실시형태에서, 통로 (32) 를 통과하는 자외선 (UV) 방사는 일반적으로 상면에 수직한 방향으로 상면 (54) 에 부딪친다. 통로 (56) 는 UV 방사용 직접 가시선 (direct line of sight) 이 배플 (50) 을 통과하는 것을 방지하기 위하여 상면 (54) 에 대해서 예각으로 배향되는 것이 바람직하다. 따라서, UV 방사는 기판 (22) 을 손상시키지 않도록 상면 (54) 과 통로 (56) 의 벽들로부터 반사된다.
배플 (50) 은 또한 중심부 (52) 와 주변부 (60) 사이에 배열된 직행 통로 (58) 를 포함한다. 통로 (58) 는 소망하는 흐름 패턴의 반응성 종을 레지스트 스트립 챔버 (10) 의 내부에 분배하도록 구성된다. 도 3에 도시된 바와 같이, 통로 (58) 는 동심원 배열된 구멍의 열들의 형태인 것이 바람직하다. 통로 (58) 는 바람직하게는 원형 단면을 가지며 바람직하게는 중심부 (52) 로부터 주변부 (60) 쪽으로, 배플 (50) 의 반경의 바깥 방향으로 갈수록 단면 사이즈 (즉, 직경) 가 증가한다.
도 3에 도시된 바와 같이, 배플 (50) 의 주변부 (60) 는, 배플 (50) 을 레지스트 스트립 챔버 (10) 의 측벽 (12) 의 최상부 표면 (68) 에 연결하기 위한 패스너 (fastener) (66), 즉 가는 볼트들 (도 2) 을 수용하기 위해 원주 방향으로 이격된 구멍들 (64) 을 가지는 플랜지 (flange) (62) 를 포함한다. 배플 (50) 은 필요에 따라, 처리되고 대체되도록 측벽 (12) 으로부터 분리되고 레지스트 스트립 챔버 (10) 로부터 제거될 수 있다.
도 4에 도시된 바와 같이, 라이너 (70) 는 레지스트 스트립 공정 동안에 덮개 (16) 의 바닥 면에 물질의 증착을 최소화하기 위하여 배플 (50) 의 상면 (72) 위에 지지되도록 구성될 수도 있다. 원주 방향으로 이격된 스페이서 (65) 가 라이너 (70) 를 지지하고 플레넘 (plenum) (74) 을 그 사이에 형성하기 위하여 상면 (72) 에 제공된다 (도 2). 라이너 (70) 는 반응성 종이 통로 (32) 로부터 플레넘 (74) 으로 통과하는 통로가 되는 중앙에 위치된 통로 (44) 를 포함한다.
포토레지스트 및 에칭 후 잔여물을 상부에 갖는 저유전상수 유전 물질의 층을 갖는 반도체 기판을 다운스트림 반응 챔버에 도입하는 단계, 및 업스트림 애플리케이터 내에서, 산소원자 및 수소원자와 같은 반응성 종을 포함하는 플라즈마를 생성시키는 단계를 포함하는, 저유전상수 물질층을 가지는 반도체 기판으로부터 에칭 후 잔여물과 포토레지스트를 제거하는 방법이 제공된다. 산소원자는 플라즈마에 노출된 애플리케이터 표면을 패시베이션 한다. 플라즈마는 산소, 수소, 및 불활성 캐리어 가스로 이루어진 가스 혼합물로부터 생성되고, 수소 대 산소의 체적 비는 2:1 보다 크게, 바람직하게는 5:1보다 크게, 더 바람직하게는 10:1 보다 크게, 더욱 바람직하게는 20:1 보다 크게, 한층 더 바람직하게는 40:1 보다 크게 한다. 플라즈마는 바람직하게는 0.05 내지 0.3 체적%의 산소, 더 바람직하게는 약 0.1 체적%의 산소와 1 내지 10 체적%의 수소를 포함하는 가스 혼합물로부터 생성된다. 불활성 캐리어 가스는, 예를 들어, 헬륨, 아르곤, 또는 이들의 혼합물과 같은 희가스 (noble gas) 를 포함한다. 수소와 헬륨은 H2He로 공급될 수도 있고 산소는 O2로 공급될 수도 있다. 가스 혼합물은 바람직하게는 약 6000 내지 7000 sccm 까지의 총 유량을 가지며, 더 바람직하게는 약 6500 sccm 의 유량을 갖는다. 반응성 종은 다운스트림 반응 챔버로 도입되고 수소원자는 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후 잔여물을 제거한다.
산소원자가, 예를 들어, 애플리케이터의 표면과 같이 반응성 종에 노출된 표면을 패시베이션 한다고 생각되기 때문에, 약 0.1 체적%의 산소, 1 내지 10 체적%의 수소, 및 불활성 캐리어 가스를 포함하는 가스 혼합물로부터 생성된 플라즈마에서, 반도체 기판 표면에 위치한 반응 챔버에 도입되는 반응성 종은 약 0.1 체적% 미만의 산소를 포함한다. 플라즈마가 형성되는 가스 혼합물은 플루오로카본, 하이드로플루오로카본, 암모니아, 및 N2H2가 없는 것이 바람직하다. 따라서, 가스 혼합물은 바람직하게는 필수적으로 산소, 수소, 및 불활성 캐리어 가스로 이루어진다. 불활성 캐리어 가스는, 예를 들면, 헬륨, 아르곤, 또는 이들의 혼합물과 같은 희가스를 포함한다. 수소와 헬륨은 H2He로 공급될 수도 있고 산소는 O2로 공급될 수도 있다.
수소 스트립 가스에 산소의 혼합은 웨이퍼 사이에서 고도로 반복되는 스트립 공정이 이루어질 수 있다는 점에서 반도체 웨이퍼의 순차적 공정에서 유용하다. 바람직하게는, 각각의 웨이퍼로부터 포토레지스트를 스트립 하기 전에 반응 챔버가 시즈닝 (seasoned) 된다. 따라서, 기판을 반응 챔버에 도입하기 전에 반응 챔버가 시즈닝된다. 시즈닝은 베어 실리콘 웨이퍼 (bare silicon wafer) 의 프로세싱 또는 Waferless AutocleanTM을 포함한다. 시즈닝 가스는 이전의 스트립 공정 동안 챔버 표면에 증착된 폴리머 부산물 (polymer byproducts) 을 제거하기 충분한 시간동안 플라즈마 상태가 되도록 에너자이징 된다. 시즈닝 가스는 바람직하게는, 예를 들면, He:H2:O2 가스 혼합물 또는 바람직하게는 O2N2와 같은 산소함유 가스이다. 산소함유 가스를 이용한 시즈닝은 노출된 반응 챔버의 표면을 산소로 패시베이션 한다고 생각된다. 따라서, 순차적으로 반도체 웨이퍼를 프로세싱하는 방법은 상기 설명된 것처럼 반도체 기판으로부터 포토레지스트 및 에칭 후 잔여물을 제거하는 단계, 반응 챔버로부터 반도체 웨이퍼를 제거하는 단계, 및 이의 반복을 포함한다.
상기에서 밝혀진 것처럼, 수소 대 산소의 체적 비가 2:1 보다 크게, 바람직하게는 5:1 보다 크게, 더 바람직하게는 10:1 보다 크게, 더욱 바람직하게는 20:1 보다 크게, 한층 더 바람직하게는 40:1 보다 크게 되는 수소, 산소, 및 불활성 기체를 포함하는 저유전상수 유전 물질의 층을 가지는 반도체 기판으로부터 포토레지스트와 에칭 후 잔여물을 제거하기 위한 가스 혼합물이 추가적으로 제공된다. 가스 혼합물로부터 생성된 플라즈마는 수소원자 및 산소원자를 포함하는데, 산소원자는 플라즈마에 노출된 표면을 패시베이션 하고 수소원자는 저유전상수 유전 물질로부터 포토레지스트와 에칭 후 잔여물을 제거한다. 가스 혼합물은 바람직하게는 0.05 내지 0.3 체적%의 산소, 더 바람직하게는 약 0.1 체적%의 산소, 및 1 내지 10 체적%의 수소를 포함한다. 불활성 캐리어 가스는, 예를 들면, 헬륨, 아르곤, 또는 이들의 혼합물과 같은 희가스를 포함한다. 수소와 헬륨은 H2He로 공급될 수도 있고 산소는 O2로 공급될 수도 있다.
여기서 언급되는 것처럼, 반응성 종이 다운스트림 반응 챔버로 도입되기 전 에, 플라즈마가 생성된 마이크로파 리액터의 업스트림 애플리케이터에서 OES (Optical Emission Spectroscopy) 에 의해, 각각 656.3 nm 수소원자의 선 또는 777 nm 산소원자의 선의 방출 강도를 기록하여 수소 또는 산소의 강도가 측정된다. 기록된 방출 강도는 플라즈마의 종의 농도에 비례하나, 예를 들어, 전자 온도 및 충돌 단면적과 같은 요소에도 의존한다.
도 5는 헬륨, 수소 및 산소로 형성된 플라즈마에서 산소 유량에 따른 함수로서 수소의 강도를 도시한다. 유량 2850 sccm He 및 150 sccm H2 (5 체적%) 가 사용되었다. 수소의 강도는 0 sccm O2 부터 5 sccm O2 (0.17 체적%) 로 증가시키며 관찰되었다. 5 sccm 산소보다 큰 경우 더 이상 수소의 강도를 의미 있게 증가시키지 않았다.
도 6 및 도 7은 헬륨과 수소로부터 형성된 플라즈마 및 헬륨, 수소 및 산소로부터 형성된 플라즈마에 시간의 함수로서 수소의 강도를 도시한 도면이다. 전력이 2600 W 였던, 도 6에 의해 설명된 공정에서, 2820 sccm He, 180 sccm H2, 및 5 sccm O2 (0.17 체적%) 로부터 형성된 플라즈마는 2820 sccm He 및 180 sccm H2 (6 체적%) 로부터 형성된 플라즈마보다 더 강한 수소 강도를 보인다.
도 7에 설명된 공정에서, 6240 sccm He, 260 sccm H2, 및 6 sccm O2 (0.09 체적%) 로부터 형성된 플라즈마에서 기록된 수소의 강도는 6240 sccm He 및 260 sccm H2 (4 체적%) 로부터 형성된 플라즈마에서 기록된 수소의 강도보다 더 강하다. 또한, 6240 sccm He, 260 sccm H2 로부터 생성된 플라즈마에서, 기록된 수소의 강도는 포화 수준으로 저하하여, 공정 동안에 수소원자의 손실을 나타낸다. 수소원자 손실의 주요 기제는 반응 챔버 표면에서의 수소원자 재결합으로 생각된다. 반대로, 6240 sccm He, 260 sccm H2, 및 6 sccm O2 로부터 생성된 플라즈마에서, 기록된 수소의 강도는 포화 수준으로 증가하여, 플라즈마에서 더 높은 수소원자 농도와 감소된 수소원자 재결합 손실을 나타낸다. 6 sccm O2 포함으로부터 생성된 산소원자는 반응 챔버 표면을 패시베이션 하여, 수소원자 재결합시 이용할 수 있는 장소를 차단하고 수소원자의 재결합 확률을 감소시키며, 따라서, 더 높은 수소원자의 농도가 웨이퍼 표면에서 이용 가능하다.
도 7에 의해 설명된 공정에서, 전력은 3000 W, 챔버 압력은 750 mTorr였고, 기판 온도는 280℃ 였다. 기판 온도는 250℃ 보다 높은 것이 바람직하다. 따라서, 기판, 바람직하게는 반도체 웨이퍼는 250℃ 이상의 온도로 가열된 기판 지지대 위에 지지될 수도 있다. 기판은 기판을 고정한 채로 또는 고정하지 않은 채로 고온의 기판 지지대 위에 지지될 수 있다. 고온에서 사용하기에 적당한 정전척이 공동 소유의 미국 특허 제 6,377,437, 6,567,258, 6,669,783호에 기재되어 있으며, 그 전체가 본 명세서에 참조로서 포함된다. 정전척이 사용된다면, 척의 정전기적 고정 특성을 활성화시킬 필요는 없다.
실시예
도 8은 (30초 동안 불화수소산으로 처리된 후) 3000 W의 전력에서 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한다. 도 8a는 6240 sccm He 및 260 sccm H2 (4 체적%) 를 사용하여 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한다. 도 8b는 6240 sccm He, 260 sccm H2, 및 5 sccm O2 (0.08 체적%) 를 사용하여 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한다. 도 8c는 6240 sccm He, 260 sccm H2, 및 20 sccm O2 (0.31 체적%의 O2) 를 사용하여 저유전상수 유전 물질로부터 잔여물을 제거함에 따른 SEM 결과를 도시한다.
도 9는 포토레지스트 스트립 속도에서 포토레지스트 수축율을 뺀 것과, 6240 sccm He, 260 sccm H2, 및 0 sccm O2, 또는 5 sccm O2, 또는 20 sccm O2를 사용해서 저유전상수 유전 물질로부터의 잔여물 제거의 균일도를 도시한다.
도 8 및 9에서 알 수 있는 것처럼, 저유전상수 유전 물질로부터 잔여물 제거와, 포토레지스트 스트립 속도 (포토레지스트 스트립 속도에서 포토레지스트 수축율을 뺀 것), 및 불균일도 (수축율 공제) 는 6240 sccm He, 260 sccm H2, 및 0 sccm O2 의 경우와 비교시, 6240 sccm He, 260 sccm H2, 및 5 sccm O2 (총 유량 6505 sccm) 의 경우에, 바람직하게는 저유전상수 유전 물질의 손상 없이, 모두 향상된다. 포토레지스트 스트립 속도와 불균일도를 계산하는데 고려된 포토레지스트 수축율은 가열된 전극을 사용한 결과에 의해 나타난다. 도 8과 9에서 더욱 알 수 있는 바와 같이, 6240 sccm He, 260 sccm H2, 및 20 sccm O2 가 더 나은 포토레지스트 스 트립 속도와 불균일도를 보이는 반면, 6240 sccm He, 260 sccm H2, 및 20 sccm O2 광범위한 저유전상수 유전 물질 손상을 보인다.
다양한 실시형태를 설명하였지만, 변경 및 변형이 당업자에게 명백한 범위 내에서 가능함이 이해되어야 한다. 그러한 변경 및 변형은 본 명세서에 첨부된 청구의 범위와 한계에 속하는 것으로 고려된다.
가스 혼합물로부터 생성된 플라즈마는 수소원자와 산소원자를 포함하며, 산소원자는 플라즈마에 노출된 표면을 페시베이션 하고, 수소원자는 저유전상수 물질로부터 포토레지스트 및 에칭 후 잔여물을 제거한다. 산소는 노출된 표면에서 수소원자의 재결합 확률을 크게 낮춰줌으로써 스트립 공정에서 사용 가능한 수소원자의 상대적 양을 증가시켜 포토레지스트 스트립 속도를 간접적으로 올려준다. 수소 대 산소의 비율을 저유전상수 유전 물질 손상을 피하도록 유지하면서, 수소 스트립 플라즈마에 산소를 포함시키는 것은 포토레지스트 스트립 속도 및 균일도를 개선한다.

Claims (20)

  1. 저유전상수 유전 물질의 층을 갖는 반도체 기판으로부터 포토레지스트 및 에칭 후 잔여물을 제거하는 방법으로서,
    포토레지스트 및 에칭 후 잔여물을 상부에 갖는 상기 반도체 기판을 다운스트림 반응 챔버에 도입하는 단계;
    업스트림 애플리케이터 내에 반응성 종을 포함하는 플라즈마를 생성하는 단계로서, 상기 반응성 종은 수소원자와 산소원자를 포함하며, 상기 산소원자는 상기 플라즈마에 노출된 애플리케이터 표면을 패시베이션 하고, 상기 플라즈마는 0.05 내지 0.1 체적%의 산소, 1 내지 10 체적%의 수소, 및 나머지 불활성 캐리어 가스를 포함하는 가스 혼합물로부터 생성되는, 상기 플라즈마를 생성하는 단계; 및
    상기 반응성 종을 상기 다운스트림 반응 챔버에 도입하는 단계로서, 상기 수소원자가 상기 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후 잔여물을 제거하는, 상기 도입하는 단계를 포함하는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서,
    상기 불활성 캐리어 가스는 헬륨, 아르곤, 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  6. 제 5 항에 있어서,
    상기 불활성 캐리어 가스는 헬륨이고, 또한 수소와 헬륨은 H2He로 공급되는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  7. 제 1 항에 있어서,
    상기 산소는 O2로 공급되는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  8. 제 1 항에 있어서,
    상기 가스 혼합물은 총 유량을 6000 내지 7000 sccm 까지 가지는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  9. 제 1 항에 있어서,
    상기 반도체 기판은 250℃ 이상의 온도로 가열된 기판 지지대 위에 지지된 반도체 웨이퍼인, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  10. 제 1 항에 있어서,
    상기 수소 대 산소의 체적 비가 5:1보다 큰, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  11. 제 1 항에 있어서,
    상기 반응성 종은 석영 배플 (baffle) 을 통해 상기 다운스트림 반응 챔버에 도입되고, 또한 상기 산소원자가 상기 플라즈마에 노출된 배플 표면을 패시베이션 하는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  12. 제 1 항에 있어서,
    상기 반응성 종은 상기 다운스트림 반응 챔버에 도입되기 전에 석영 챔버 라이너에 노출되고, 또한 상기 산소원자가 상기 플라즈마에 노출된 챔버 라이너 표면을 패시베이션 하는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  13. 제 1 항에 있어서,
    상기 업스트림 애플리케이터는 석영으로 이루어지는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  14. 제 1 항에 있어서,
    상기 반도체 기판을 상기 다운스트림 반응 챔버에 도입하기 전에, 상기 다운스트림 반응 챔버를, 챔버 표면에 증착된 폴리머 부산물을 제거하기에 충분한 시간동안 플라즈마 상태로 에너자이징 된 산소함유 가스로 시즈닝 (seasoning) 하는 단계를 추가로 포함하는, 포토레지스트 및 에칭 후 잔여물을 제거하는 방법.
  15. 반도체 웨이퍼를 순차적으로 처리하는 방법으로서,
    a) 제 14 항에 기재된 방법에 따라 반도체 기판으로부터 포토레지스트와 에칭 후 잔여물을 제거하는 단계;
    b) 상기 다운스트림 반응 챔버로부터 상기 반도체 웨이퍼를 제거하는 단계; 및
    c) 상기 a) 단계 및 b) 단계를 반복하는 단계를 포함하는, 반도체 웨이퍼를 순차적으로 처리하는 방법.
  16. 저유전상수 유전 물질의 층을 갖는 반도체 기판으로부터 포토레지스트 및 에칭 후 잔여물을 제거하는 가스 혼합물로서,
    1 내지 10 체적%의 수소와 0.05 내지 0.1 체적%의 산소; 및
    나머지 불활성 캐리어 가스를 포함하고,
    수소원자와 산소원자를 포함하는 상기 가스 혼합물로부터 플라즈마가 생성되고, 상기 산소원자는 상기 플라즈마에 노출된 표면을 패시베이션 하고, 및 상기 수소원자는 상기 저유전상수 유전 물질로부터 포토레지스트와 에칭 후 잔여물을 제거하는, 가스 혼합물.
  17. 삭제
  18. 삭제
  19. 제 16 항에 있어서,
    상기 불활성 캐리어 가스는 헬륨이며, 상기 수소와 헬륨은 H2He로 공급되고, 상기 산소는 O2로 공급되는, 가스 혼합물.
  20. 제 16 항에 있어서,
    수소 대 산소의 체적 비가 5:1보다 큰, 가스 혼합물.
KR1020060086373A 2005-09-08 2006-09-07 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법 KR101392646B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/220,710 US7479457B2 (en) 2005-09-08 2005-09-08 Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US11/220,710 2005-09-08

Publications (2)

Publication Number Publication Date
KR20070029085A KR20070029085A (ko) 2007-03-13
KR101392646B1 true KR101392646B1 (ko) 2014-05-07

Family

ID=37830547

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060086373A KR101392646B1 (ko) 2005-09-08 2006-09-07 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법

Country Status (5)

Country Link
US (1) US7479457B2 (ko)
KR (1) KR101392646B1 (ko)
CN (1) CN101025578A (ko)
SG (1) SG131051A1 (ko)
TW (1) TWI409866B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
JP2009170547A (ja) * 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
US20100043821A1 (en) * 2008-08-19 2010-02-25 Li Siyi method of photoresist removal in the presence of a low-k dielectric layer
US9611522B2 (en) 2009-05-06 2017-04-04 United Technologies Corporation Spray deposition of L12 aluminum alloys
TWI727024B (zh) 2016-04-15 2021-05-11 美商應用材料股份有限公司 微體積沉積腔室
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
WO2021011525A1 (en) * 2019-07-18 2021-01-21 Mattson Technology, Inc. Processing of workpieces using hydrogen radicals and ozone gas
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030075524A1 (en) * 2001-10-15 2003-04-24 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
JP2004134520A (ja) 2002-10-09 2004-04-30 Applied Materials Inc レジストの除去方法
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
US20050112883A1 (en) * 2003-10-13 2005-05-26 Savas Stephen E. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) * 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
JPS5911629A (ja) 1982-07-12 1984-01-21 Toshiba Corp 表面清浄化方法
WO1997011482A2 (en) 1995-09-05 1997-03-27 Lsi Logic Corporation Removal of halogens and photoresist from wafers
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6080270A (en) * 1997-07-14 2000-06-27 Lam Research Corporation Compact microwave downstream plasma system
US6149829A (en) * 1998-03-17 2000-11-21 James W. Mitzel Plasma surface treatment method and resulting device
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6852636B1 (en) * 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6511916B1 (en) * 2002-01-07 2003-01-28 United Microelectronics Corp. Method for removing the photoresist layer in the damascene process
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US6933246B2 (en) * 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6777173B2 (en) * 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
WO2004074932A2 (en) * 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US20050136666A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for etching an organic layer
US7449416B2 (en) * 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
JP4588391B2 (ja) 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030075524A1 (en) * 2001-10-15 2003-04-24 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
JP2004134520A (ja) 2002-10-09 2004-04-30 Applied Materials Inc レジストの除去方法
US20050112883A1 (en) * 2003-10-13 2005-05-26 Savas Stephen E. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing

Also Published As

Publication number Publication date
US7479457B2 (en) 2009-01-20
US20070054496A1 (en) 2007-03-08
CN101025578A (zh) 2007-08-29
TWI409866B (zh) 2013-09-21
TW200729313A (en) 2007-08-01
SG131051A1 (en) 2007-04-26
KR20070029085A (ko) 2007-03-13

Similar Documents

Publication Publication Date Title
KR101392646B1 (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
US8268181B2 (en) Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US4699689A (en) Method and apparatus for dry processing of substrates
US4689112A (en) Method and apparatus for dry processing of substrates
JP5536041B2 (ja) 微量気体濃度の監視によるウエハプラズマ処理中のアーキング現象を検出する方法、及び、プラズマ処理装置
EP1098189B1 (en) Method for detecting an end point for an oxygen free plasma process
US20070051471A1 (en) Methods and apparatus for stripping
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
US20040256357A1 (en) Methods of etching photoresist on substrates
Cunge et al. Enhancement of the recombination rate of Br atoms by CF 4 addition and resist etching in HBr/Cl 2/O 2 plasmas
US20030205251A1 (en) Cleaning of semiconductor processing chambers
JPH08124902A (ja) プラズマ処理装置
Akimoto et al. Reactive ion etching lag on high rate oxide etching using high density plasma
JP3563214B2 (ja) プラズマエッチング方法
Fuller Plasma etching
JPH0896990A (ja) プラズマ処理装置およびプラズマ処理方法
Shinagawa et al. Effects of nitrogen addition to microwave oxygen plasma in surface wave with disk-plate window and photoresist ashing
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)
Sowa Mechanism for the selective etch of silicon dioxide in a high-density, low-pressure, inductively coupled fluorocarbon plasma
JPH1022272A (ja) 半導体装置の製造方法
JPH08124908A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170414

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190412

Year of fee payment: 6