JP5536041B2 - 微量気体濃度の監視によるウエハプラズマ処理中のアーキング現象を検出する方法、及び、プラズマ処理装置 - Google Patents

微量気体濃度の監視によるウエハプラズマ処理中のアーキング現象を検出する方法、及び、プラズマ処理装置 Download PDF

Info

Publication number
JP5536041B2
JP5536041B2 JP2011509471A JP2011509471A JP5536041B2 JP 5536041 B2 JP5536041 B2 JP 5536041B2 JP 2011509471 A JP2011509471 A JP 2011509471A JP 2011509471 A JP2011509471 A JP 2011509471A JP 5536041 B2 JP5536041 B2 JP 5536041B2
Authority
JP
Japan
Prior art keywords
gas
plasma processing
substrate
plasma
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011509471A
Other languages
English (en)
Other versions
JP2011523774A (ja
Inventor
ハドソン・エリック
フィッシャー・アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011523774A publication Critical patent/JP2011523774A/ja
Application granted granted Critical
Publication of JP5536041B2 publication Critical patent/JP5536041B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

プラズマ処理装置は、エッチング、物理蒸着(PVD)、化学蒸着(CVD)、イオン注入、レジスト除去などの技術によって基板を処理するために用いられる。プラズマ処理に用いられるプラズマ処理装置の1つのタイプは、上側電極および下側電極を収容する反応チャンバを備える。電極間のRF生成プラズマは、反応チャンバ内のウエハ基板およびチャンバ部品をエッチングするエネルギイオンおよび中性種を生成する。
一実施形態では、半導体プラズマ処理装置において基板アーキング(基板アーク放電)を検出する方法が提供されている。プラズマ処理装置の反応チャンバ内の基板支持体上に、基板が載置される。処理ガスが、反応チャンバ内に導入される。処理ガスからプラズマが生成され、基板は、プラズマで処理される。プラズマ処理中に反応チャンバ内で生成された選択ガス種のリアルタイム質量分析信号の強度が、監視される。選択ガス種は、基板アーキング現象によって生成されたものである。強度が閾値を越えた時に、アーキング現象が検出される。前記基板アーキング現象によって生成される選択ガス種は、有機フォトレジストの分解生成物である。
別の実施形態では、プラズマ処理装置は、反応チャンバの内部で基板を支持する基板ホルダを備える。ガス供給源は、ガス分配部材を用いて、反応チャンバの内部に処理ガスを供給する。電源は、反応チャンバの内部にエネルギを供給し、基板を処理するために処理ガスをプラズマ状態に励起する。ガスセンサは、基板アーキングによって生成されたガス種を特定するために、プラズマ処理中に反応チャンバ内のガス種を監視するよう適合されている。アラームは、基板アーキングによって生成されたガス種が特定された時に、警告信号を生成する。前記基板アーキングによって生成されたガス種は、有機フォトレジストの分解生成物である。
本発明は、以下の適用例としても実現可能である。
[適用例1]
半導体プラズマ処理装置内の基板アーキングを検出する方法であって、
プラズマ処理装置の反応チャンバ内の基板支持体上に基板を載置する工程と、
前記反応チャンバ内に処理ガスを導入する工程と、
前記処理ガスからプラズマを生成する工程と、
前記プラズマで前記基板を処理する工程と、
プラズマ処理中に前記反応チャンバ内で基板アーキング現象によって生成される選択ガス種のリアルタイム質量分析信号の強度を監視する工程と、
前記強度が閾値を越えた時に前記アーキング現象を検出する工程と、
を備える、方法。
[適用例2]
適用例1に記載の方法であって、
前記基板は、アルミニウム、銅、シリコン、有機誘電体、または、有機フォトレジストを含み、
前記基板アーキング現象によって生成される前記選択ガス種は、アルミニウム含有種、銅含有種、若しくは、シリコン含有種、および/または、前記有機材料の分解生成物を含む、方法。
[適用例3]
適用例1に記載の方法であって、さらに、
前記基板アーキング現象によって生成される選択ガス種を特定する工程
を備え、
前記選択ガス種を特定する工程は、
前記基板アーキング現象の非存在時に前記処理ガスのリアルタイム質量分析信号の強度を監視する工程と、
前記基板アーキング現象中に、リアルタイム質量分析信号の強度を監視する工程と、
前記基板アーキング現象の非存在時の前記処理ガスのリアルタイム質量分析信号の前記強度と、前記基板アーキング現象中のリアルタイム質量分析信号の前記強度とを比較する工程と、
を備える、方法。
[適用例4]
適用例1に記載の方法であって、
リアルタイム質量分析信号の強度を監視する工程は、残留ガス分析(RGA)質量分析計、誘導結合プラズマ発光(ICP−OE)分光分析装置、赤外吸収分光分析装置、または、フーリエ変換赤外(FTIR)分光分析装置によって実行される、方法。
[適用例5]
適用例3に記載の方法であって、
前記選択ガス種は、残留ガス分析器(RGA)において12、15、25、26、85、または、86原子質量単位(AMU)の信号を生成する分解生成物を含む、方法。
[適用例6]
適用例1に記載の方法であって、さらに、
アーキング現象が検出された時に警告信号を生成し、前記プラズマ処理を終了する工程
を備える、方法。
[適用例7]
適用例1に記載の方法であって、
前記反応チャンバ内に処理ガスを導入する工程は、シャワーヘッドを通して処理ガスを注入することによって実行され、
選択ガス種のリアルタイム質量分析信号の強度を監視する工程は、前記シャワーヘッド内のチャネル、または、前記基板に隣接したサンプリングチューブから、ガス種を収集する工程を含む、方法。
[適用例8]
適用例1に記載の方法であって、
前記プラズマで前記基板を処理する工程は、
(a)半導体、金属、若しくは、誘電体のプラズマエッチング、又は、(b)導電材料若しくは誘電材料の蒸着、を含む方法。
[適用例9]
適用例8に記載の方法であって、
プラズマエッチングのための前記処理ガスは、炭化水素ガス、フッ化炭素ガス、ハイドロフルオロカーボンガス、ハロゲン含有ガス、酸素含有ガス、窒素含有ガス、および、不活性ガス、ならびに、それらの混合物を含む、方法。
[適用例10]
適用例8に記載の方法であって、
蒸着のための処理ガスは、SiH 4 、SiF 4 、Si 2 6 、テトラエチルオルトシリケート(TEOS)、及びテトラメチルシクロテトラシロキサン(TMCTS)からなる群より選択されたシリコン含有反応ガス、又は、前記選択されたシリコン含有反応ガスと、H 2 、O 2 、N 2 、NH 3 、NF 3 、N 2 O、及び、NOを含むさらなる処理ガスとの組み合わせ、並びに、それらの混合物を含む、方法。
[適用例11]
プラズマ処理装置であって、
反応チャンバの内部で基板を支持するための基板ホルダと、
ガス分配部材を用いて、前記反応チャンバの前記内部に処理ガスを供給するガス供給源と、
前記反応チャンバの前記内部にエネルギを供給し、前記基板を処理するために前記処理ガスをプラズマ状態に励起する電源と、
基板アーキングによって生成されたガス種を特定するために、プラズマ処理中に前記反応チャンバ内のガス種を監視するよう適合されたガスセンサと、
基板アーキングによって生成されたガス種が特定された時に、警告信号を生成するアラームと、
を備える、プラズマ処理装置。
[適用例12]
適用例11に記載のプラズマ処理装置であって、
前記ガスセンサは、残留ガス分析(RGA)質量分析計、誘導結合プラズマ発光(ICP−OE)分光分析装置、赤外吸収分光分析装置、または、フーリエ変換赤外(FTIR)分光分析装置である、プラズマ処理装置。
[適用例13]
適用例11に記載のプラズマ処理装置であって、
前記ガスセンサは、プラズマ処理中に処理ガスに関する質量分析計からのリアルタイム信号を監視するよう適合された残留ガス分析(RGA)質量分析計であり、
基板アーキングによって生成された前記ガス種は、有機フォトレジストの分解生成物である、プラズマ処理装置。
[適用例14]
適用例11に記載のプラズマ処理装置であって、
前記プラズマ処理装置は、半導体、金属、または、誘電体に適合されたプラズマエッチャ、又は、導電材料または誘電材料を蒸着するよう適合された蒸着チャンバである、プラズマ処理装置。
[適用例15]
適用例11に記載のプラズマ処理装置であって、
前記ガス分配部材は、シャワーヘッドである、プラズマ処理装置。
[適用例16]
適用例15に記載のプラズマ処理装置であって、さらに、
プラズマ処理中に前記反応チャンバからガス種を収集するよう適合されたサンプリング構造と、
前記ガスセンサにガス種を輸送するよう適合されたガスラインと、
を備える、プラズマ処理装置。
[適用例17]
適用例16に記載のプラズマ処理装置であって、
前記サンプリング構造は、前記シャワーヘッド内のチャネル、または、前記基板ホルダに隣接するチューブであり、
前記ガスラインは加熱される、プラズマ処理装置。
[適用例18]
適用例11に記載のプラズマ処理装置であって、
前記ガス分配部材はシャワーヘッド電極であり、
前記電源は高周波(RF)電源である、プラズマ処理装置。
[適用例19]
適用例18に記載のプラズマ処理装置であって、さらに、
前記シャワーヘッド電極および前記基板ホルダの外側に配置されたプラズマ閉じ込めリングアセンブリを備える、プラズマ処理装置。
プラズマ装置の代表的な実施形態を示す断面図。
誘導結合プラズマ処理装置を示す断面図。
有機フォトレジスト被覆シリコンクーポンのArプラズマ内での処理について、原子質量の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
熱伝導グリスを背面に塗布した有機フォトレジスト被覆シリコンクーポンのArプラズマ内での処理について、原子質量の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
シリコンクーポンのArプラズマ内での処理について、原子質量の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
シリコンクーポンのCF4プラズマ内での処理について、原子質量の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
有機フォトレジスト被覆シリコンクーポンのArプラズマ内での処理について、アーキング現象の発生時に原子質量の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
有機フォトレジスト被覆シリコンクーポンのArプラズマ内での処理について、アーキング現象の発生時に時間の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
プラズマが生成されていないCF4/N2ガス混合物について、原子質量の関数として強度を表したRGA質量分析計からのリアルタイム信号を示す図。
半導体材料は、材料の層を選択的に構築および除去することによって、トランジスタ、ダイオード、コンデンサなど、特定の電子デバイスに加工されうる。集積回路の製造において、チップ上のデバイス数が増加し続け、それに伴って最小フィーチャサイズが小さくなることにより、異なる材料の層を時に困難なトポロジ上に蒸着する工程、材料を除去する工程、および、それらの層内にフィーチャを形成する工程など、集積回路製造に用いられる製造工程の多くに、ますます困難な要求が課されてきた。
プラズマ化学蒸着(PECVD)は、半導体集積回路に様々な薄膜を形成するために利用できる。例えば、PECVDは、高純度かつ高品質のSiO2、Si34、Siなどの薄膜を形成することができる。薄膜形成の反応過程において、原材料は、ガス分子がガス中で熱的に解離され基板の表面上で結合して薄膜を形成するように、ガス成分の形態で反応チャンバに供給されうる。
エッチングは、与えられた基板から半導体またはその他の材料の層を除去し、集積回路上にフィーチャを作る一技術である。例えば、有機フォトレジストなどの被覆マスク層を蒸着することによって、開口部(例えば、トレンチまたはビア)を基板層に形成することができる。マスク層は、トレンチ、コンタクト、または、ビアの形状にパターニングされてよく、その後に、エッチングが実行される。
プラズマエッチングは、湿式エッチングの様々な方法と比較して、解像度が高く寸法および形状の制御能力が向上しているため、電子デバイス製造において、特に注目されている。したがって、プラズマエッチングは、大規模集積デバイスおよび集積回路を形成するための半導体ウエハの処理など、優れたパターン制御および描画が求められる場合に用いると有利である。
プラズマリアクタは、超小型電子加工において、半導体ウエハに対して乾式エッチングまたは蒸着などの様々な処理を実行するために用いられてよい。ウエハがリアクタの真空チャンバの内部に配置され、エッチャントまたは蒸着ガスなどの処理ガスがチャンバ内に導入される。それらのガスは、プラズマを点火して維持するために励起される。プラズマを形成するためのガスの組成に応じて、プラズマは、ウエハから特定の材料をエッチングするために利用することもできるし、ウエハ上に材料の薄膜層を蒸着するために利用することもできる。処理チャンバは、処理ガス(すなわち、エッチング剤)を受け入れるよう構成されており、処理チャンバの1または複数の電極には、高周波(RF)電力が印加される。処理チャンバ内の圧力は、個々の処理に合わせて制御される。所望のRF電力が電極に印加されると、チャンバ内の処理ガスが活性化されて、プラズマが形成される。このように、プラズマは、半導体ウエハの選択された層に対して所望のエッチングを実行するために生成される。
集積回路デバイスは、物理的サイズおよび動作電圧が共に小さくなり続けているため、デバイスに関連する製造歩留まりは、クリティカルフィーチャに影響する任意の欠陥の影響を受けやすくなっている。ウエハ表面上の欠陥は、フォトリソグラフィおよびエッチング工程中に、局所的にパターン転写を妨害する場合がある。
それらの欠陥の原因の一つは、ウエハのプラズマ処理中に生じうるアーキング現象に関連している。一般に、アーキングとは、リアクタおよび/またはウエハの2つの表面の間に電流を流す過渡的な高密度プラズマフィラメントを指す。基板アーキングとは、リアクタおよびウエハの間、または、ウエハ内の2つの位置の間で生じるアーキングを指す。基板アーキングは、望ましくない材料のスパッタリング、材料の蒸着、または、いくつかの材料の高温揮発など、ウエハの材料劣化を潜在的に引き起こしうる。通例、アークは直径が小さく持続期間が短いが、アークの電力密度は、総電力損失が小さい場合でも、著しい損傷を引き起こしうるものである。例えば、基板アーキング現象は、ゲート構造、(無機または有機の)金属間誘電体層、または、金属相互接続線などのクリティカルフィーチャを損傷しうる微細な(すなわち、ミクロンのオーダーの)クレータを生じて、集積回路要素の故障または欠陥を引き起こしうる。したがって、多数のウエハが損傷を受ける前に基板アーキングの生じているプラズマ処理装置をラインから外してメンテナンスを実行できるように、リアルタイムで基板アーキングを検出する信頼性の高い方法が求められている。
ガス種のリアルタイム監視と、プラズマ処理装置内の基板アーキングによって生じたガス種の特定とによって基板アーキングを検出する方法が提供されている。ウエハ内での基板アーキング現象、または、ウエハ表面およびプラズマチャンバ表面の間での基板アーキング現象により、プラズマ内に揮発種が放出される。例えば、基板アーキング現象は、基板の急速な加熱を引き起こし、シリコン、アルミニウム、銅(すなわち、アルミニウム含有種、銅含有種、または、シリコン含有種)、または、有機材料(すなわち、フォトレジストまたは有機誘電体)に関連する揮発性分解生成物を放出して、ウエハ表面付近で分解生成物の濃度の急激な増加すなわちスパイクを引き起こしうる。フォトレジストの分解に関係する生成物は、例えば、より安定な分子を作る場合があり、ウエハ表面付近に配置されたガス濃度を測定可能なセンサまで拡散しうる。一方、シリコン、アルミニウム、または、銅に関係する分解生成物は、検出される前にウエハ表面上で凝結しうる。
基板アーキング現象は(例えば、有機材料の分解によって)ガス種を生成しうるが、いくつかのガス種は、処理ガス(すなわち、エッチングガスまたはCVDガス)のバックグラウンド濃度が高いために検出できない場合がある。エッチングに用いられる処理ガスの例としては、炭化水素ガス(例えば、Cxy)、フッ化炭素(例えば、Cxy)、ハイドロフルオロカーボンガス(例えば、Cxyz)、ハロゲン含有ガス(例えば、NF3、HBr、Cl2)、酸素含有ガス(例えば、O2)、窒素含有ガス(例えば、N2、NH3)、または、不活性ガス(例えば、He、Ar)が挙げられる。薄膜蒸着に用いられる処理ガスの例としては、SiH4、SiF4、Si26、テトラエチルオルトシリケート(TEOS)、テトラメチルシクロテトラシロキサン(TMCTS)、および、それらの混合物からなる群より選択されたシリコン含有反応ガスが挙げられる。薄膜蒸着の処理ガスとしては、H2、O2、N2、NH3、NF3、N2O,NO、および、それらの混合物が挙げられる。
図1は、エッチングのための代表的な半導体材料プラズマ処理装置100を示す。プラズマ処理装置100は、プラズマ処理中に基板106を支持する基板支持体104を収容する反応チャンバ102を備える。反応チャンバ102の内部で基板106を支持するための基板支持体104は、処理中に基板支持体104上に基板106を固定するよう動作可能なクランプ装置(好ましくは、静電チャック)を備えてよい。
図1に示したプラズマ処理チャンバの一例は、反応チャンバ102の壁を形成するトッププレート108と、トッププレート108に取り付けられたシャワーヘッド電極110とを有するシャワーヘッド電極アセンブリを備える。ガス供給源112は、シャワーヘッド電極110を通して反応チャンバ102の内部に処理ガスを供給する。シャワーヘッド電極110は、シャワーヘッド電極110および基板支持体104の間に位置するプラズマ反応チャンバ102内の空間に処理ガスを注入するためにシャワーヘッド電極110の厚さを通して延びる複数のガス流路114を備える。
処理ガスは、シャワーヘッド電極110を通して、反応チャンバ102の内部に流入する。次に、処理ガスは、電源116A(シャワーヘッド電極110を駆動するRF源など)、および/または、約0.3MHzから約600MHzまでの1または複数の周波数(例えば、2MHz、13.56MHz、60MHzなど)で基板支持体104内の電極を駆動する約0.3MHzから約600MHzまでの1または複数の周波数(例えば、2MHz、13.56MHz、60MHzなど)の電源116Bによって、プラズマ処理チャンバ100内でプラズマ状態へ励起される。シャワーヘッド電極110に印加されるRF電力は、異なるガス組成がプラズマ処理装置100に供給される場合など、異なる処理工程を実行するために変更されてよい。別の実施形態において、シャワーヘッド電極110は、接地されてもよい。
一実施形態において、2つのRF源からシャワーヘッド電極110および/または基板支持体104にRFエネルギを供給することによって、プラズマ処理チャンバ100の内部でプラズマを生成してもよいし、シャワーヘッド電極110を電気的に接地して、単一の周波数または複数の周波数のRFエネルギを基板支持体104に供給してもよい。さらに、シャワーヘッド電極110および基板支持体104の間に位置する空間内にプラズマを閉じ込めるために、プラズマ閉じ込めリングアセンブリ118が、シャワーヘッド電極110および基板支持体104の外側に提供されてよい。RF容量結合プラズマリアクタで用いられるプラズマ閉じ込めリングおよび二次接地に関する詳細な説明については、同一出願人による米国特許第5,534,751号に記載されており、これは、参照によって本明細書に組み込まれる。
基板アーキング現象が起こり、分解生成物に関連するガス種の放出が起こると、かかるガスが処理ガスの動的な流れの中で希釈されて、エッチングガス中の分解生成物の濃度がガス検出器の検出限界未満に下がる前に、これらの分解生成物を検出することが好ましい。
基板アーキングに関連するガス種は、サンプリング構造122を用いたガスセンサ120による分析のために揮発性分解生成物を収集することによって検出され、ガスライン124に沿ってガスセンサに輸送されてよい。かかるガス種の凝結を防ぐために、ガスライン124は、加熱されてよい。基板アーキングに関連するガス種の感度を高めるために、サンプリング構造122は、基板支持体104上に載置された基板106の近傍に配置されてよい。
一実施形態において、サンプリング構造122は、プラズマ閉じ込めリング118の外側に配置されたチューブであってよい。サンプリングチューブの材料の例としては、石英、シリコン、窒化ケイ素、炭化ケイ素、または、イットリアなどのその他のプラズマ耐性セラミック材料が挙げられる。別の実施形態において、サンプリング構造122は、シャワーヘッド電極110内に直接埋め込まれたチャネルであってもよい。基板アーキングが検出されると、アラーム126からの信号が生成される。例えば、アラーム126は、音声警告、視覚警告、電子的な記録、もしくは、基板アーキングを最小化するための是正措置を取るか、または、プラズマ処理を終了するように促すオペレータへの指示であってよい。
別の実施形態では、図2に示すように、誘導結合プラズマ(ICP)処理装置200を用いて、低圧(すなわち、50mTorr未満)で真空チャンバ内に処理ガスを供給すると共に、高周波(RF)エネルギをガスに印加することにより、蒸着(例えば、プラズマ化学蒸着すなわちPECVD)、および、基板上の材料のプラズマエッチングを実行することができる。図2は、ICPプラズマ処理装置200の一実施形態を示す断面図である。ICPプラズマ処理チャンバの一例は、カリフォルニア州フレモント、ラムリサーチ社によって製造されたTCP(登録商標)エッチングまたは蒸着システムである。ICPプラズマ処理装置は、例えば、共同所有の米国特許第4,948,458号にも記載されており、これは、参照によって全体が本明細書に組み込まれる。反応チャンバ202は、反応チャンバ202の内部で基板206を支持する基板支持体204を備える。誘電体窓208は、反応チャンバ202の上壁を形成する。処理ガスは、ガス分配部材210を通して反応チャンバ202の内部に注入される。ガス分配部材210の例としては、シャワーヘッド、ガスインジェクタ、または、その他の適切な構成が挙げられる。ガス供給源212は、ガス分配部材210を通して反応チャンバ202の内部に処理ガスを供給する。
処理ガスは、反応チャンバ202の内部に導入されると、エネルギ源216が反応チャンバ202の内部にエネルギを供給することによって、プラズマ状態に励起される。エネルギ源216は、RFエネルギを反応チャンバ202に誘導結合するために、RF源218AおよびRFインピーダンス整合回路218Bによって電力供給された外部平面アンテナであることが好ましい。平面アンテナにRF電力を印加することによって生成された電磁場は、処理ガスを励起して、基板206の上方に高密度プラズマP(例えば、1011〜1012イオン/cm3)を形成する。
誘電体窓208は、平面アンテナの下にあり、ガス分配部材210は、誘電体窓208の下方に配置される。高密度プラズマは、基板206の蒸着またはエッチングのために、ガス分配部材210および基板206の間の領域内で生成される。
図1の実施形態と同様に、基板アーキングに関連するガス種は、サンプリング構造222を用いたガスセンサ220による分析のためにかかるガス種を収集することによって検出され、ガスライン224に沿ってガスセンサに輸送されてよい。分解生成物の凝結を防ぐために、ガスライン224は、加熱されてよい。分解生成物の感度を高めるために、サンプリング構造222は、基板支持体204上に載置された基板206の近傍に配置される。基板アーキングが検出されると、アラーム226からの警告信号が生成される。例えば、アラーム226は、音声警告、視覚警告、電子的な記録、もしくは、基板アーキングを最小化するための是正措置を取るか、または、プラズマ処理を終了するように促すオペレータへの指示であってよい。
望ましい実施形態において、ガスセンサ120/220は、質量分析計、好ましくは、残留ガス分析(RGA)質量分析計であってよい。RGA質量分析計は、真空システム内での微量ガス濃度の測定に適しており、サンプルガスを分析することによって動作する。サンプルガスはイオン化され、それらのイオンは、直流(DC)および高周波(RF)電位の組み合わせを用いて、四重極電場によって質量電荷比に基づいて分離される。分析計は、イオン束対質量電荷比を測定することにより、サンプルガスの詳細な化学的分析を提供する。RGAは、感度またはスキャン速度(例えば、単一質量で20Hz以上)を高めるために、電子倍増管を備えてよい。好ましい実施形態において、RGAは、フォトレジストの分解副生成物を検出するために用いられてよく、その分解副生成物は、背景の処理ガスを越えて検出可能である。例えば、プラズマ処理中に、質量分析計からのリアルタイムな信号を生成することができる。質量分析計からのリアルタイム信号は、フルスペクトルの原子質量(例えば、200AMUまで)、または、単一質量での収集を含みうる。
上述のように、処理ガスのバックグラウンド濃度が高いために、基板アーキング現象に関連するすべてのガス種を容易に検出できるわけではない。例えば、基板アーキング現象に関連するガス種と、処理ガスは、リアルタイムRGA質量分析の特性解析の際に、重なり合うピーク(すなわち、同じAMUに2つのピーク)を生成しうる。この場合、処理ガスに対してかかるガス種の濃度が低いために、かかるガス種に関連するピークは検出不可能になる場合がある。
したがって、基板アーキングに関連する選択ガス種は、処理ガスの存在下で容易に検出される必要がある。基板アーキングに関連する選択ガス種は、以下の比較によって識別されうる:
(i)アーキング現象がない場合の処理ガスの基準(または参照)質量分析信号と、
(ii)基板アーキング現象中の質量分析信号との比較。
2つの異なる質量分析信号を比較することで、基板アーキングに関連する選択ガス種を容易に特定することができる。
別の実施形態において、ガスセンサは、誘導結合プラズマ発光(ICP−OE)分光分析装置、赤外吸収分光分析装置、または、フーリエ変換赤外(FTIR)分光分析装置を備えてもよい。ただし、ICP−OEおよびFTIR技術は、異なるガスを検出する能力が、原子および分子の構造に強く依存するため、特定の応用例に対しては決して満足できるものではない場合がある。
例1
プラズマ処理中のアーキング現象をシミュレートするために、シリコンクーポン(約3cm×3cm)を、193nm用の有機フォトレジストコーティングで被覆し、続いて、プラズマ処理中にArプラズマ内で熱分解した。これらの試験は、不活性ガスプラズマ内での有機フォトレジストの副生成物の熱分解が、RGA質量分析技術を用いて検出可能であることを実証した。試験は、ラムリサーチ社(カリフォルニア州フレモント)製の2300(登録商標)EXELAN(登録商標)FLEX−3XTM誘電体エッチングシステムで行われ、ガス種は、スタンフォードリサーチシステムズ社(カリフォルニア州サニーベール)製のRGA200残留ガス分析器によって監視された。
熱分解試験は、シリコン試験クーポン(約3cm×3cm)上に193nm用の有機フォトレジストを被覆し、Arプラズマ内で試験クーポンをプラズマ処理することによって実行された。各試験クーポンは、剥き出しのシリコンウエハ上に配置された。200SCCMのArのガス混合物が、80mTorrのチャンバ圧のエッチングチャンバ内に導入された。約2MHzの周波数で約1500Wおよび約60MHzの周波数で約800Wの二重周波数RF電力が、下側電極に印加された。下側電極の温度は約60℃に設定され、上側電極の温度は約120℃に設定された。プラズマ処理中、シリコンウエハの温度は、約60℃に設定された下側電極の温度よりも約20℃から約30℃高かった。シリコンウエハ上に設置された試験クーポンの温度は、クーポンとシリコンウエハとの間の熱的結合の程度に応じて、潜在的にはるかに高い。この場合、熱的接触が弱いと、試験クーポンは、シリコンウエハの温度よりもずっと高い温度になると予測される。総処理時間は、約120秒であった。プラズマ処理中、処理チャンバを流れるガスの組成が、RGA質量分析によって監視された。プラズマ曝露および加熱の組み合わせによって、有機フォトレジストの熱分解が起こった。図2Aは、Arプラズマ内での有機フォトレジストの分解について、原子質量(原子質量単位すなわちAMU)の関数として強度(任意の単位)を表したRGA質量分析計からのリアルタイム信号を示す図である。
有機フォトレジストの分解に関連する原子質量のピークを特定するために、シリコンと、分解していないフォトレジストコーティングを有するシリコンとについて、RGA質量分析計からのリアルタイム信号を測定した。上述の試験条件を以下のものに対して繰り返した:
(i)Arプラズマ内において、クーポンなしの剥き出しのシリコンウエハ、および、
(ii)Arプラズマ内において、熱伝導グリスを塗った剥き出しのシリコンウエハに取り付けられたフォトレジスト被覆シリコンクーポン。
熱分解を防ぐために、試験クーポンの背面に熱伝導グリスを塗布して、プラズマ処理によるフォトレジストコーティングからの熱の除去を促進した。これにより、熱伝導グリスなしの場合と比較すると、試験クーポンの温度がはるかに低くなる。剥き出しのシリコンクーポンおよび熱伝導グリスを塗布したフォトレジスト被覆シリコンクーポンについて、RGA質量分析計からのリアルタイム信号を、図2Bおよび図2Cにそれぞれ示す。図2Aないし図2Cのリアルタイム信号は、プラズマ処理の最初の数秒間に測定された。
図2Aないし図2Cを比較すると、原子質量86のピークは、有機フォトレジストの熱分解に関連したものであると決定され、プラズマ処理の継続と共に時間の関数として徐々に減衰した。したがって、この試験により、フォトレジストの熱分解の結果、質量86の追加的なピークが生じることが示された。
ただし、質量86のピークがフォトレジスト分解の潜在的な指標として特定されたものの、フッ素系のエッチングガスを用いると、フォトレジスト分解に関連する質量86のピークを潜在的に隠してしまう可能性がある。上述の試験は、剥き出しのシリコンクーポンを処理するためにArの代わりにCF4ガスを用いて繰り返された。CF4プラズマ内の剥き出しのシリコンについて、RGA質量分析計からのリアルタイム信号を、図3に示す。図3のリアルタイム信号から、CF4プラズマは、有機フォトレジストがない場合には、質量85および質量86の2つの強度ピークによって特徴付けられることがわかった。したがって、有機フォトレジスト分解を示唆するための質量ピーク86の検出は、処理ガスがフッ化炭素系(例えば、CF4)である場合には、完全に満足できるものではない可能性がある。
例2
次のセットの試験では、アーキング現象中にRGA質量分析によって有機フォトレジスト分解生成物を検出した。上述のように、プラズマ処理中にガス濃度のリアルタイム分析を行うためのRGA200残留ガス分析器に接続された2300(登録商標)EXELAN(登録商標)FLEX−3X(商標)誘電体エッチングシステム内で、試験を行った。
シリコンクーポンは、193nm用の有機フォトレジストで被覆され、Arプラズマ内でプラズマ処理を受けた。プラズマ処理中、処理チャンバを流れるガスの組成が、RGA質量分析によって監視された。アーキングを誘導するために、金属線をクーポンに接合することによって部分的に接地された剥き出しのシリコンクーポン(約3cm×3cm)に、フォトレジスト被覆ウエハを取り付けた。
575SCCMで流れるアルゴンが、80mTorrのチャンバ圧のエッチングチャンバ内に導入された。約27MHzの周波数で約1000Wの電力を有するRF電力が、下側電極に印加された。下側電極の温度は約20°Cに設定され、上側電極の温度は約80℃に設定された。プラズマ処理中、処理チャンバを流れるガスの組成が、RGA質量分析によって監視された。シリコンウエハは、約60秒間プラズマ処理を施され、その際、アーキング現象が処理の約25秒後に検出された。試験が完了した後、アーキング現象が、シリコンクーポンおよびシャワーヘッド電極の目視検査によって確認された。シリコンクーポンおよびシャワーヘッド電極は共に、アーキングによる損傷に特徴的な変色を示した。
図4Aは、Arプラズマ内での有機フォトレジストの分解について、原子質量(原子質量単位すなわちAMU)の関数として強度(任意の単位)を表したRGA質量分析計からのリアルタイム信号を示す図である。図4Aの関心領域(すなわち、質量12.1、質量15、質量25.3、質量26.3、および、質量85.6)が、黒い矢印で示されている。図4Bは、質量12.1、質量15、質量25.3、質量26.3、および、質量85.6について、プラズマ処理時間の関数として強度を示したRGA質量分析計からのリアルタイム信号である。図4Bに示すように、5つの原子質量では、約20秒で強度の急激な増加が見られた。約25から30秒にかけて、5つの原子質量は、強度の最大値に達した。約35秒で、5つの原子質量の強度は、元のレベルに戻った。したがって、この試験では、アーキング現象に関連したフォトレジストの熱分解が、質量12.1、質量15、質量25.3、質量26.3、および、質量85.6で追加のピークをもたらすことが示された。
上述のように、フッ素系のエッチングガスを利用すると、アーキング現象に関連したフォトレジスト分解の発生を示す質量ピークを潜在的に隠す可能性がある。80mTorrの圧力のエッチングチャンバ内に150SCCMのCF4/50SCCMのN2を流入させることによって、CF4/N2エッチングガス混合物のガス濃度のリアルタイム分析を実行した。プラズマは生成されなかった。CF4/N2ガス混合物について、RGA質量分析計からのリアルタイム信号を、図5に示す。図5から、質量分析計からのCF4/N2のリアルタイム信号は、アーキングによる任意の強度増大を潜在的に隠す質量12.1および質量25.3の強度ピークによって特徴付けられる。図4Aおよび図5のリアルタイム信号を比較すると、質量15および質量26.3の追加のピークにつながるアーキング現象は、CF4エッチングガス内で容易に検出可能であることがわかった。
好ましい実施形態は、単なる例示であり、決して限定を意図したものではない。本発明の範囲は、上述の記載ではなく添付の請求項によって与えられ、特許請求の範囲内に含まれるすべての変形物および等価物が、本発明に含まれる。

Claims (19)

  1. 半導体プラズマ処理装置内の基板アーキングを検出する方法であって、
    プラズマ処理装置の反応チャンバ内の基板支持体上に基板を載置する工程と、
    前記反応チャンバ内に処理ガスを導入する工程と、
    前記処理ガスからプラズマを生成する工程と、
    前記プラズマで前記基板を処理する工程と、
    プラズマ処理中に前記反応チャンバ内で基板アーキング現象によって生成される選択ガス種のリアルタイム質量分析信号の強度を監視する工程と、
    前記強度が閾値を越えた時に前記アーキング現象を検出する工程と、
    を備え
    前記基板アーキング現象によって生成される前記選択ガス種は、有機フォトレジストの分解生成物である、方法。
  2. 請求項1に記載の方法であって、
    前記基板は、アルミニウム、銅、シリコン、有機誘電体、または、有機フォトレジストを含む、方法。
  3. 請求項1に記載の方法であって、さらに、
    前記基板アーキング現象によって生成される選択ガス種を特定する工程
    を備え、
    前記選択ガス種を特定する工程は、
    前記基板アーキング現象の非存在時に前記処理ガスのリアルタイム質量分析信号の強度を監視する工程と、
    前記基板アーキング現象中に、リアルタイム質量分析信号の強度を監視する工程と、
    前記基板アーキング現象の非存在時の前記処理ガスのリアルタイム質量分析信号の前記強度と、前記基板アーキング現象中のリアルタイム質量分析信号の前記強度とを比較する工程と、
    を備える、方法。
  4. 請求項1に記載の方法であって、
    リアルタイム質量分析信号の強度を監視する工程は、残留ガス分析(RGA)質量分析計、誘導結合プラズマ発光(ICP−OE)分光分析装置、赤外吸収分光分析装置、または、フーリエ変換赤外(FTIR)分光分析装置によって実行される、方法。
  5. 請求項3に記載の方法であって、
    前記選択ガス種は、残留ガス分析器(RGA)において12、15、25、26、85、または、86原子質量単位(AMU)の信号を生成する分解生成物を含む、方法。
  6. 請求項1に記載の方法であって、さらに、
    アーキング現象が検出された時に警告信号を生成し、前記プラズマ処理を終了する工程
    を備える、方法。
  7. 請求項1に記載の方法であって、
    前記反応チャンバ内に処理ガスを導入する工程は、シャワーヘッドを通して処理ガスを注入することによって実行され、
    選択ガス種のリアルタイム質量分析信号の強度を監視する工程は、前記シャワーヘッド内のチャネル、または、前記基板に隣接したサンプリングチューブから、ガス種を収集する工程を含む、方法。
  8. 請求項1に記載の方法であって、
    前記プラズマで前記基板を処理する工程は、
    (a)半導体、金属、若しくは、誘電体のプラズマエッチング、又は、(b)導電材料若しくは誘電材料の蒸着、を含む方法。
  9. 請求項8に記載の方法であって、
    プラズマエッチングのための前記処理ガスは、炭化水素ガス、フッ化炭素ガス、ハイドロフルオロカーボンガス、ハロゲン含有ガス、酸素含有ガス、窒素含有ガス、および、不活性ガス、ならびに、それらの混合物を含む、方法。
  10. 請求項8に記載の方法であって、
    蒸着のための処理ガスは、SiH4、SiF4、Si26、テトラエチルオルトシリケート(TEOS)、及びテトラメチルシクロテトラシロキサン(TMCTS)からなる群より選択されたシリコン含有反応ガス、又は、前記選択されたシリコン含有反応ガスと、H2、O2、N2、NH3、NF3、N2O、及び、NOを含むさらなる処理ガスとの組み合わせ、並びに、それらの混合物を含む、方法。
  11. プラズマ処理装置であって、
    反応チャンバの内部で基板を支持するための基板ホルダと、
    ガス分配部材を用いて、前記反応チャンバの前記内部に処理ガスを供給するガス供給源と、
    前記反応チャンバの前記内部にエネルギを供給し、前記基板を処理するために前記処理ガスをプラズマ状態に励起する電源と、
    基板アーキングによって生成されたガス種を特定するために、プラズマ処理中に前記反応チャンバ内のガス種を監視するよう適合されたガスセンサと、
    基板アーキングによって生成されたガス種が特定された時に、警告信号を生成するアラームと、
    を備え
    前記基板アーキングによって生成された前記ガス種は、有機フォトレジストの分解生成物である、プラズマ処理装置。
  12. 請求項11に記載のプラズマ処理装置であって、
    前記ガスセンサは、残留ガス分析(RGA)質量分析計、誘導結合プラズマ発光(ICP−OE)分光分析装置、赤外吸収分光分析装置、または、フーリエ変換赤外(FTIR)分光分析装置である、プラズマ処理装置。
  13. 請求項11に記載のプラズマ処理装置であって、
    前記ガスセンサは、プラズマ処理中に処理ガスに関する質量分析計からのリアルタイム信号を監視するよう適合された残留ガス分析(RGA)質量分析計である、プラズマ処理装置。
  14. 請求項11に記載のプラズマ処理装置であって、
    前記プラズマ処理装置は、半導体、金属、または、誘電体に適合されたプラズマエッチャ、又は、導電材料または誘電材料を蒸着するよう適合された蒸着チャンバである、プラズマ処理装置。
  15. 請求項11に記載のプラズマ処理装置であって、
    前記ガス分配部材は、シャワーヘッドである、プラズマ処理装置。
  16. 請求項15に記載のプラズマ処理装置であって、さらに、
    プラズマ処理中に前記反応チャンバからガス種を収集するよう適合されたサンプリング構造と、
    前記ガスセンサにガス種を輸送するよう適合されたガスラインと、
    を備える、プラズマ処理装置。
  17. 請求項16に記載のプラズマ処理装置であって、
    前記サンプリング構造は、前記シャワーヘッド内のチャネル、または、前記基板ホルダに隣接するチューブであり、
    前記ガスラインは加熱される、プラズマ処理装置。
  18. 請求項11に記載のプラズマ処理装置であって、
    前記ガス分配部材はシャワーヘッド電極であり、
    前記電源は高周波(RF)電源である、プラズマ処理装置。
  19. 請求項18に記載のプラズマ処理装置であって、さらに、
    前記シャワーヘッド電極および前記基板ホルダの外側に配置されたプラズマ閉じ込めリングアセンブリを備える、プラズマ処理装置。
JP2011509471A 2008-05-12 2009-05-04 微量気体濃度の監視によるウエハプラズマ処理中のアーキング現象を検出する方法、及び、プラズマ処理装置 Active JP5536041B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/149,982 2008-05-12
US12/149,982 US8158017B2 (en) 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
PCT/US2009/002726 WO2009139828A2 (en) 2008-05-12 2009-05-04 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Publications (2)

Publication Number Publication Date
JP2011523774A JP2011523774A (ja) 2011-08-18
JP5536041B2 true JP5536041B2 (ja) 2014-07-02

Family

ID=41267171

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011509471A Active JP5536041B2 (ja) 2008-05-12 2009-05-04 微量気体濃度の監視によるウエハプラズマ処理中のアーキング現象を検出する方法、及び、プラズマ処理装置

Country Status (6)

Country Link
US (2) US8158017B2 (ja)
JP (1) JP5536041B2 (ja)
KR (1) KR101570552B1 (ja)
CN (1) CN102027576B (ja)
TW (2) TWI591742B (ja)
WO (1) WO2009139828A2 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITRM20080304A1 (it) * 2008-06-11 2009-12-12 Univ Palermo Dispositivo portatile per la rilevazione di scariche parziali
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US8502689B2 (en) * 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
KR101843443B1 (ko) 2011-10-19 2018-05-15 삼성전자주식회사 플라즈마 설비 및 그의 관리방법
DE102012200211A1 (de) * 2012-01-09 2013-07-11 Carl Zeiss Nts Gmbh Vorrichtung und Verfahren zur Oberflächenbearbeitung eines Substrates
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
KR101288047B1 (ko) 2012-05-25 2013-07-23 주식회사 나노텍 멀티 프리퀀시를 이용한 가스 분석장치
CN103834927B (zh) * 2014-03-17 2016-08-17 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法
JP6220319B2 (ja) * 2014-07-17 2017-10-25 株式会社日立ハイテクノロジーズ データ解析方法及びプラズマエッチング方法並びにプラズマ処理装置
WO2017087378A1 (en) * 2015-11-16 2017-05-26 Tokyo Electron Limited Advanced optical sensor and method for plasma chamber
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
WO2018094219A1 (en) 2016-11-18 2018-05-24 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
KR102090057B1 (ko) 2017-12-11 2020-03-17 주식회사 이엘 반도체 공정 챔버 및 가스라인의 가스분석을 위한 tof ms 가스질량분석 모니터링 시스템
KR102524810B1 (ko) 2017-12-26 2023-04-24 삼성전자주식회사 반도체 공정의 제어 방법
US10975470B2 (en) * 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR20200060624A (ko) * 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US11817297B2 (en) * 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241152A (en) * 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
DE4127504A1 (de) * 1991-08-20 1993-02-25 Leybold Ag Einrichtung zur unterdrueckung von lichtboegen
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5698082A (en) * 1993-08-04 1997-12-16 Balzers Und Leybold Method and apparatus for coating substrates in a vacuum chamber, with a system for the detection and suppression of undesirable arcing
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
TW386249B (en) * 1997-06-30 2000-04-01 Matsushita Electric Ind Co Ltd Method and device for manufacturing semiconductor thin film
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US5979225A (en) * 1997-08-26 1999-11-09 Applied Materials, Inc. Diagnosis process of vacuum failure in a vacuum chamber
US6332961B1 (en) * 1997-09-17 2001-12-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in RF plasma systems
US6192287B1 (en) * 1997-09-23 2001-02-20 On-Line Technologies, Inc. Method and apparatus for fault detection and control
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
JP3296292B2 (ja) * 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
US6346428B1 (en) * 1998-08-17 2002-02-12 Tegal Corporation Method and apparatus for minimizing semiconductor wafer arcing during semiconductor wafer processing
US6286362B1 (en) * 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
TW440907B (en) * 2000-03-02 2001-06-16 United Microelectronics Corp Plasma arcing sensor
TW505939B (en) * 2000-03-28 2002-10-11 Kumamoto Technopolis Foundatio Apparatus for detecting plasma anomalous discharge and method of detecting the same
JP2001313280A (ja) * 2000-04-02 2001-11-09 Axcelis Technologies Inc ポスト・エッチ・フォトレジストおよび残留物の除去法
US6603538B1 (en) * 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6815362B1 (en) * 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
JP3541359B2 (ja) 2001-09-19 2004-07-07 独立行政法人 科学技術振興機構 超音波プローブの一部を内蔵した基板載置台及び超音波プローブ貫通孔の密閉装置
US7092077B2 (en) * 2001-09-24 2006-08-15 Entegris, Inc. System and method for monitoring contamination
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP4074079B2 (ja) * 2001-11-01 2008-04-09 Necエレクトロニクス株式会社 プラズマ処理装置およびプラズマ処理方法
US6741092B2 (en) * 2001-12-28 2004-05-25 Formfactor, Inc. Method and system for detecting an arc condition
US6703250B2 (en) * 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6736944B2 (en) * 2002-04-12 2004-05-18 Schneider Automation Inc. Apparatus and method for arc detection
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US7006205B2 (en) * 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US7247252B2 (en) * 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US7199327B2 (en) * 2002-06-28 2007-04-03 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040031699A1 (en) * 2002-08-19 2004-02-19 Applied Materials, Inc. Method for performing real time arcing detection
AU2003272656A1 (en) * 2002-09-27 2004-04-19 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
US6927076B2 (en) * 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US6759342B2 (en) * 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6787484B2 (en) * 2002-12-17 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd Method of reducing visible light induced arcing in a semiconductor wafer manufacturing process
KR100488545B1 (ko) * 2003-07-23 2005-05-11 삼성전자주식회사 반도체 제조설비의 잔류개스 분석장치
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7064812B2 (en) * 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
US7015414B2 (en) * 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP4754419B2 (ja) * 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム

Also Published As

Publication number Publication date
TW201001582A (en) 2010-01-01
CN102027576A (zh) 2011-04-20
TWI591742B (zh) 2017-07-11
US20090280581A1 (en) 2009-11-12
US8158017B2 (en) 2012-04-17
JP2011523774A (ja) 2011-08-18
KR101570552B1 (ko) 2015-11-19
TW201712776A (en) 2017-04-01
WO2009139828A2 (en) 2009-11-19
US20120175060A1 (en) 2012-07-12
KR20110021768A (ko) 2011-03-04
CN102027576B (zh) 2013-02-27
WO2009139828A3 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
JP5536041B2 (ja) 微量気体濃度の監視によるウエハプラズマ処理中のアーキング現象を検出する方法、及び、プラズマ処理装置
JP5193604B2 (ja) フォトレジスト及びエッチング残渣の低圧除去方法
US6528427B2 (en) Methods for reducing contamination of semiconductor substrates
JP5414179B2 (ja) フォトレジスト及びエッチング残余物の低圧除去
US6492186B1 (en) Method for detecting an endpoint for an oxygen free plasma process
TWI808080B (zh) 蝕刻基板的方法、基板處理室以及光學放射頻譜儀組件
TWI409866B (zh) 自低k介電材料移除光阻及後蝕刻殘留物之氣體混合物及其使用方法
TW201833980A (zh) 用於偵測在製造過程中微粒誘發電弧的成分光學放射光譜術
KR100798160B1 (ko) 플라즈마 에칭방법
KR100690144B1 (ko) 플라즈마를 이용한 가스분석장치
KR20080018810A (ko) 세정 공정의 종말점을 검출하는 방법
Li et al. Surface chemical changes of aluminum during NF 3-based plasma processing used for in situ chamber cleaning
JP3563214B2 (ja) プラズマエッチング方法
JP2007103604A (ja) エッチング方法および処理装置
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
Lee et al. In-Situ Optical Monitoring of Atmospheric Pressure Plasma During Organic Surface Removal
Kim et al. Oxide via etching in a magnetically enhanced CHF3/CF4/Ar plasma
Yoo et al. Characteristics of SiO₂ Etching by Capacitively Coupled Plasma with Different Fluorocarbon Liquids (C₇F₁₄, C₇F₈) and Fluorocarbon Gas (C₄F₈)
Rizquez et al. Comparison study between optical emission spectroscopy and x-ray photoelectron spectroscopy techniques during process etch plasma
Ling Plasma etching of dielectric materials using inductively and capacitively coupled fluorocarbon discharges: Mechanistic studies of the surface chemistry
Chen Variable energy neutral beam design and kinetic energy etching
Stillahn The role of plasma-surface interactions in process chemistry: Mechanistic studies of a-carbon nitride deposition and sulfur fluoride/oxygen etching of silicon
Stillahn et al. The role of plasma-surface interactions in process chemistry: mechanistic studies of a-CNx deposition and SF6/O2 etching of silicon

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110315

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140408

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140423

R150 Certificate of patent or registration of utility model

Ref document number: 5536041

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250