TWI591742B - 藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象 - Google Patents

藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象 Download PDF

Info

Publication number
TWI591742B
TWI591742B TW098115717A TW98115717A TWI591742B TW I591742 B TWI591742 B TW I591742B TW 098115717 A TW098115717 A TW 098115717A TW 98115717 A TW98115717 A TW 98115717A TW I591742 B TWI591742 B TW I591742B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
arcing
plasma
mass spectrometer
Prior art date
Application number
TW098115717A
Other languages
English (en)
Other versions
TW201001582A (en
Inventor
艾瑞克 哈得森
安德里斯 費雪
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201001582A publication Critical patent/TW201001582A/zh
Application granted granted Critical
Publication of TWI591742B publication Critical patent/TWI591742B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Description

藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象
本發明係關於電漿處理,尤有關於藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象之電漿處理設備及方法。
藉由包含蝕刻、物理氣相沉積(PVD,physical vapor deposition)、化學氣相沉積(CVD,Chemical vapor deposition)、離子植入及光阻移除之技術,吾人利用電漿處理設備來處理基板。一種使用在電漿處理中的電漿處理設備型式包含具有上及下電極的反應室。電極之間的射頻(RF)產生電漿產生了蝕刻反應室內之晶圓基板及腔室零件的高能離子及中性物種。
在一實施例中,提供一種在半導體電漿處理設備中偵測基板發弧的方法。將基板放置在電漿處理設備之反應室中的基板支座上。將處理氣體導入反應室中。由處理氣體產生電漿,並以電漿處理基板。在電漿處理期間,監視在反應室中產生之選定之氣體物種之即時光譜信號的強度。選定之氣體物種係由基板發弧現象所產生。當強度大於門檻值時,偵測出發弧現象。
在另一實施例中,一種電漿處理設備包含:基板支座,其用以在反應室之內部內支撐基板;氣體供應器,使用氣體分配構件供應處理氣體至反應室之內部;功率源,供應能量進入反應室之內部中,並將處理氣體激化成電漿狀態以處理基板;氣體感測器,用以在電漿處理期間監視反應室中之氣體物種,以辨識由基板發弧所產生的氣體物種;及警報器,當由基板發弧所產生之氣體物種被辨識出時,產生警報信號。
半導體材料可藉由選擇性增加或移除材料之膜層而製造成例如電晶體、二極體及相似物之特定電子裝置。在積體電路的製造中,晶片上之裝置數量的持續增加及伴隨之最小特徵部尺寸的縮小,已在許多使用在積體電路製造之製造步驟上開出了持續增加地困難需求,包含在有時困難的布局(topologies)上沉積不同材料層,及在此等膜層內移除材料或形成特徵部。
電漿增進化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)可被用來在半導體積體電路中形成許多薄膜。例如,PECVD可形成高純度及高品質的例如SiO2、Si3N4、Si或相似物的薄膜。在形成薄膜之反應處理中,可將原料以氣體組成的形式供應至反應室,使得氣體分子可熱分解並結合在氣體中及基板的表面上,以形成薄膜。
蝕刻是用於自給定基板移除半導體或其他材料層並在積體電路上產生特徵部的一種技術。例如,開口(例如溝渠或通孔)可藉由沉積例如有機光阻之上伏遮罩層,而形成在基板層中。遮罩層可被圖案化成溝渠、接點或通孔的形狀,接著施行蝕刻。
因為電漿蝕刻相較於許多濕式蝕刻方法,具有較佳的解析度及增進的尺寸及形狀控制能力,因此電漿蝕刻在生產電子裝置上受到特別的關注。因此,電漿蝕刻適合使用在需要較好的圖案控制及描繪的場合,例如處理半導體晶圓以形成大尺寸整合裝置及積體電路。
電漿反應器可被用來施行各種微電子製造中之半導體晶圓上的處理,這些處理包含乾式蝕刻或沉積。將晶圓放置在反應器之真空室內側,然後將包含蝕刻劑或沉積氣體的處理氣體導入腔室中。將氣體激化以點燃並維持電漿。根據形成電漿的氣體之組成,可使用電漿來蝕刻晶圓之特定材料,或可使用電漿來沉積材料之薄膜層至晶圓上。當施加射頻(RF)功率至處理室之一個或更多電極的同時,處理室用以接收處理氣體(即蝕刻化學品)。處理室內側的壓力亦對於特定處理而加以控制。在施加期望之RF功率至電極之後,腔室中的處理氣體立即被活化,使得電漿產生。電漿因而產生以施行半導體晶圓之選定層的期望蝕刻。
當積體電路裝置持續減少其物理尺寸及其操作電壓兩者時,其相關的製造良率變得更容易受任何影響關鍵特徵部之缺陷的影響。晶圓表面上的缺陷可局部中斷光微影及蝕刻步驟期間的圖案轉印。
一種此等缺陷的來源係關於發弧現象,其可能發生在晶圓之電漿處理期間。一般來說,發弧係指在反應器及/或晶圓中之兩表面之間帶有電流之瞬間高密度電漿絲(filaments)。基板發弧係指發生在反應器與晶圓之間或者晶圓內的兩位置之間的發弧。基板發弧可潛在地導致晶圓的材料劣化,包含非期望之材料濺射、材料沉積或某些材料的高溫揮發。僅管發弧典型上具有小直徑及短區間,但發弧之功率密度可導致明顯的損傷,即使總功率耗損很小。例如,基板發弧現象可產生微小坑洞(craters)(即微米等級),其可損傷包含閘極結構、金屬層間介電層(無機或有機)或金屬互連線路之關鍵特徵部,而導致積體電路元件的機能失常或故障。因此,存在關於即時偵測基板發弧的可靠方法的需求,以確保在多片晶圓被損傷之前,能使經歷基板發弧的電漿處理設備離機以進行維修。
茲提供一種經由即時監視氣體物種,並識別由電漿處理設備中之基板發弧所產生之氣體物種而偵測基板發弧的方法。發生在晶圓內或晶圓表面與電漿室表面之間的基板發弧現象會釋放揮發性物種至電漿中。例如,基板發弧現象可導致基板的快速加熱,並釋放結合矽、鋁、銅(即含鋁、含銅、或含矽物種)或有機材料(即光阻或有機介電質)之揮發性分解產物,而導致鄰近晶圓表面之分解產物濃度的突然增加或尖峰(spike)。關於光阻分解的產物,例如,可產生能夠擴散至設置在鄰近晶圓表面之能夠量測氣體濃度之偵測器之更穩定的分子。另一方面,關於矽、鋁或銅之分解產物可能在偵測前就凝結在晶圓表面上。
儘管基板發弧現象可產生氣體物種(例如來自有機材料的分解),若干氣體物種會因為處理氣體(即蝕刻氣體或CVD氣體)的高背景濃度而無法被偵測到。用於蝕刻之處理氣體的範例包含:碳 氫化合物氣體(例如CXHY)、碳氟化合物氣體(例如CXFY)、氫氟碳化合物氣體(例如CXHYFz)、含鹵素氣體(例如NF3,HBr,Cl2)、含氧氣體(例如O2)、含氮氣體(例如N2、NH3)或惰性氣體(例如He、Ar)。用於薄膜沉積之處理氣體的範例包含:選自於由SiH4、SiF4、Si2H6、四乙氧基矽烷(TEOS,tetraethylorthosilicate)、四甲基環四矽烷(TMCTS,tetramethylcyclotetrasiloxane)及其混合物所組成之群組之含矽反應物氣體。用於薄膜沉積的處理氣體可包含H2、O2、N2、NH3、NF3、N2O、及NO、及其混合物。
圖1A說明蝕刻用之例示半導體材料電漿處理設備100。電漿處理設備100包含具有基板支座104的反應室102,在電漿處理期間基板106支撐在基板支座104上。用以在反應室102之內部中支撐基板106的基板支座104可包含夾持裝置(較佳者為靜電夾頭),其在處理期間可用來夾持基板106在基板支座104上。
顯示在圖1A中的例示電漿處理室100包含:噴淋頭電極組件,具有形成反應室102之壁的頂板108;及噴淋頭電極110,其附接至頂板108。氣體供應器112經由噴淋頭電極110供應處理氣體至反應室102之內部。噴淋頭電極110包含延伸經過噴淋頭電極110之厚度的多個氣體通道114,其用以注入處理氣體至設置在電漿反應室102中之噴淋頭電極110與基板支座104之間的空間中。
處理氣體流經噴淋頭電極110並流入反應室102之內部中。接下來,藉由例如驅動噴淋頭電極110之RF源之功率源116A,及/或驅動基板支座104中之電極的功率源116B(以自約0.3至約600MHz之一個或更多頻率(例如2MHz、13.56MHz、60MHz)),以自約0.3至約600MHz之一個或更多頻率(例如2MHz、13.56MHz、60MHz),處理氣體在電漿處理室100中被激化成電漿狀態。施加至噴淋頭電極110的RF功率可被改變以施行不同的處理步驟,例如當供應不同氣體組成至電漿處理設備100中時。在另一實施例中,噴淋頭電極100可為接地。
在一實施例中,藉由自兩個RF源供應RF能量至噴淋頭電極110及/或基板支座104,或噴淋頭電極110可為電力接地而單一頻率或多頻率之RF能量可被提供至基板支座104,而使電漿能產生在電漿處理室100的內部中。此外,可在噴淋頭電極110及基板支座104外面提供電漿限制環組件118,以限制電漿在設置於噴淋頭電極110及基板支座104之間的空間中。使用在RF電容式耦合電漿處理器中之電漿限制環及第二接地(secondary grounds)的詳細討論,可在共同讓渡之美國專利第5,534,751號中尋得,其以參考文件方式合併於此。
一旦發生基板發弧現象且立即釋放與分解產物關聯之氣體物種,較佳者為在此等氣體在處理氣體動態流中變稀釋前,及蝕刻氣體中之分解產物的濃度下降至低於氣體偵測器的偵測限制前,偵測這些分解產物。
與基板發弧相關聯的氣體物種,可藉由取樣構造122收集揮發性分解產物,並沿氣體線124傳送至氣體感測器120作分析而加以偵測。為了避免此等氣體物種的凝結,可將氣體線124加熱。為得到與基板發弧相關聯之氣體物種的較高靈敏度,取樣構造122可放置在基板支座104上之基板106的鄰近區域中。
在一實施例中,取樣構造122可為放置在電漿限制環118外面的管子。例示之取樣管材料可包含石英、矽、氮化矽或碳化矽或例如氧化釔之其他電漿阻抗陶瓷材料。在另一實施例中,取樣構造122可為直接埋置在噴淋頭電極110中的通道。一旦已偵測到基板發弧,會自警報器126產生信號。例如,警報器126可為聽覺警報、視覺警報、電子記錄或指示操作者採取矯正措施以最小化基板發弧或停止電漿處理。
在另一實施例中,如圖2所說明,藉由供應處理氣體至處於低壓(即低於50 mTorr)之真空室中,及供應射頻(RF)應用至氣體,感應式耦合電漿(ICP,inductively coupled plasma)處理設備200可使用於沉積(例如電漿增進化學氣相沉積或PECVD)及電漿蝕刻基板上的材料。圖2為ICP電漿處理設備200之實施例的橫剖面圖。ICP電漿處理室的一範例為TCP蝕刻或沉積系統(由Lam Research Corporation,Fremont,California所製造)。ICP電漿處理設備亦在例如共同擁有之美國專利第4,948,458號中有所描述,其以參考文件方式合併其整體內容。反應室202包含用以在反應室202的內部中支撐基板206的基板支座204。介電窗208形成反應室202的頂壁。反應氣體經由氣體分配構件210注入反應室202之內部。氣體構件210的範例包含:噴淋頭、氣體注入器或其他適合之裝置。氣體供應器212經由氣體分配構件210供應處理氣體至反應室202的內部。
一旦處理氣體被導入反應室202之內部中,藉由供應能量至反應室202之內部中之能量源216,這些氣體會被激化成電漿狀態。較佳地,能量源216係以RF源218A及RF阻抗匹配電路218B供電之外部平面天線,以感應耦合RF能量至反應室202中。藉由應用RF功率至平面天線所產生的電磁場,而激化處理氣體以形成基板206上方的高密度電漿P(例如1011-1012離子數/cm3)。
介電窗208下伏於平面天線,而氣體分配構件210放置在介電窗208下方。高密度電漿產生在氣體分配構件210與基板206之間的區域中,其用於基板206的沉積或蝕刻。
類似於圖1的實施例,與基板發弧相關聯的氣體物種,可藉由取樣構造222收集此等氣體物種、並沿氣體線224傳送至氣體感測器220作分析而加以偵測。為了避免分解產物的凝結,可將氣體線224加熱。為得到分解產物的較高靈敏度,取樣構造222可放置在基板支座204上之基板206的鄰近區域中。一旦已偵測到基板發弧,會自警報器226產生警報信號。例如,警報器226可為聽覺警報、視覺警報、電子記錄或指示操作者採取矯正措施以最小化基板發弧或停止電漿處理。
在較佳實施例中,氣體感測器120/220可為質譜儀,較佳地,為殘留氣體分析器(RGA,residual gas analyzer)質譜儀。RGA質譜儀適於量測真空系統中的微量氣體濃度,並藉由分析樣本氣體來運作。樣本氣體被離子化且離子藉由使用直流及射頻電位之組合之四極(quadrupolar)電場,根據質荷比(mass-to-charge ratio)而separted。此儀器量測離子通量對質荷比之關係,而藉以提供樣本氣體的詳細化學分析。此RGA可配備有用以得到額外靈敏度或較高掃描速度(例如在單一質量為20 Hz或更高)的電子倍增器(multiplier)。在較佳實施例中,可使用RGA來偵測光阻的分解副產物,其可藉由背景處理氣體而進行偵測。例如,在電漿處理期間可產生來自質譜儀之即時信號。來自質譜儀的即時信號可包含原子質量全質譜(例如高達200 AMU)或者在單一質量的採集。
如上述,因為處理氣體之高背景濃度,並非所有關於基板發弧現象的氣體物種為容易可偵測。例如,關於基板發弧現象的氣體物種與處理氣體在即時RGA質譜特徵化期間可能產生部分重疊的尖峰(即在相同AMU有兩尖峰)。在此情況下,因為此等氣體物種的濃度相較於處理氣體較低,因此與此等氣體物種關聯的尖峰可能無法偵測。
因此,與基板發弧相關聯之選定的氣體物種,必須在處理氣體存在之下容易偵測。與基板發弧相關聯之選定的氣體物種可藉由比較下述而識別:(i)不存在發弧現象之處理氣體的基線(或參考)光譜儀信號;與(ii)基板發弧現象期間的光譜儀信號。比較兩不同光譜信號,與基板發弧相關聯之選定的氣體物種可容易識別。
在替代實施例中,氣體感測器可包含感應式耦合電漿分光(ICP-OE,inductively coupled plasma optical emission)光譜儀、紅外線吸收光譜儀或傅立葉轉換紅外線(FTIR,Fourier transform infrared)光譜儀。然而,ICP-OE及FTIR技術可能無法符合某些應用的需求,因其偵測不同氣體的能力係強烈地取決於原子及分子結構。
實施例1
為了模擬電漿處理期間之發弧現象,將矽試樣(coupons)(約3 cm x 3 cm)以193 nm有機光阻塗層塗佈並隨後在電漿處理期間在Ar電漿中熱分解。這些測試說明在惰性氣體電漿中之有機光阻之副產物的熱分解,可使用RGA質譜儀技術來偵測。這些測試在2300 EXELAN FLEX-3XTM介電蝕刻系統(由Lam Research Corporation(Fremont,California)製造)中執行,而氣體物種是以RGA200殘留氣體分析器(由Stanford Research Systems(Sunnyvale,California)製造)來監視。
熱分解測試以在矽測試試樣(約3 cm x 3cm)上塗佈193 nm有機光阻,然後將測試試樣在Ar電漿中電漿處理而加以施行。各測試試樣被放置在裸矽晶圓之上。將200 SCCM Ar之氣體混合物在80 mTorr之室壓下導入蝕刻室中。將雙頻率RF功率供應至下電極(在約2 MHz頻率下約1500 W及在約60 MHz頻率下約800 W)。將下電極的溫度設定在約60℃;將上電極的溫度設定在120℃。在電漿處理期間,矽晶圓的溫度高於下電極的溫度(設定為約60℃)約20℃至約30℃。固定在矽晶圓上之測試試樣的溫度可能高更多,其取決於試樣與矽晶圓之間的熱耦合程度。在本範例中,因為不充足的熱接觸,測試試樣預期中會達到較矽晶圓高更多的溫度。總處理時間約為120秒。在電漿處理期間,流經處理室之氣體組成係以RGA質譜儀加以監視。電漿曝露及加熱的結合造成有機光阻的熱分解。圖2A為得自RGA質譜儀之強度(任意單位)之即時信號,其為關於Ar電漿中之有機光阻之分解之原子質量(原子質量單位或AMU)的函數。
為了識別與有機光阻分解相關聯之原子質量尖峰,吾人量測了來自RGA質譜儀之關於矽及具有未分解之光阻塗層之矽的即時信號。針對以下重複上述之測試條件:(i)沒有試樣之裸矽晶圓,在Ar電漿中;及(ii)以熱膠附接至裸矽晶圓之光阻塗佈矽試樣,在Ar電漿中。
為了避免熱分解,將熱膠(thermal pacte)施加至測試試樣的背側,以幫助自光阻塗層移除因為電漿處理產生的熱。這造成在測試試樣上與沒有熱膠的情況相比溫度更低。來自RGA質譜儀之關於裸矽試樣及具有熱膠之光阻塗佈矽試樣的即時信號分別顯示在圖2B及2C中。圖2A-2C中的即時信號是在蝕刻處理之最初幾秒期間所量測。
在比較圖2A-2C中,在原子質量86的尖峰已被測定與有機光阻之熱分解相關聯,其亦顯示隨著持續電漿處理之時間的函數而逐漸衰減。因此,此測試說明光阻的熱分解造成在質量86上有一額外尖峰。
然而,僅管在質量86上的尖峰被識別為關於光阻分解的可能指標,氟基蝕刻氣體的使用極可能遮蔽與光阻分解相關聯之在質量86上的尖峰。使用CF4氣體取代Ar來處理裸矽試樣而重複上述測試。來自RGA質譜儀之關於CF4電漿中之裸矽的即時信號顯示在圖3中。根據圖3中的即時信號,已判定CF4電漿之特徵為無有機光阻下,質量85及質量86上的兩巨大尖峰。因此,如果處理氣體為氟碳基化合物(例如CF4),無法完全符合用以指示出有機光阻分解之質量尖峰86的偵測可能無法令人完全滿意。
實施例2
在下一測試組中,吾人以RGA質譜儀偵測發弧現象期間之有機光阻分解產物。如上述,這些測試在2300® EXELAN® FLEX-3XTM介電蝕刻系統中執行,此系統並與RGA200殘留氣體分析器耦合,用以在電漿處理期間即時分析氣體濃度。
將矽試樣塗佈以193nm有機光阻並將其在Ar電漿中電漿處理。在電漿處理期間,流經處理室之氣體組成係以RGA質譜儀加以監視。為了引起發弧,將光阻塗佈的晶圓附接至裸矽試樣(約3cm x 3cm),此裸矽試樣藉由結合金屬線至試樣而部分接地。
將575 SCCM流速之氬氣在80mTorr的室壓下導入蝕刻室中。將RF功率以在約27MHz之頻率下約1000W的功率施加至下電極。將下電極的溫度設定在約20℃;將上電極的溫度設定在80℃。在電漿處理期間,流經處理室之氣體組成係以RGA質譜儀加以監視。將矽晶圓曝露至電漿處理約60秒,在處理約25秒之後於其中偵測到發弧現象。在測試完成之後,藉由矽試樣及噴淋頭電極的目視檢驗來確認發弧現象。矽試樣及噴淋頭電極兩者皆顯現因為發弧導致之損傷的特徵之變色。
圖4A為得自RGA質譜儀之強度(任意單位)之即時信號,其為關於Ar電漿中之有機光阻之分解之原子質量(原子質量單位或 AMU)的函數。圖4A中受關注的區域(即質量12.1、質量15、質量25.3、質量26.3及質量85.6)以深色箭號標示。圖4B為強度為電漿處理時間之函數的關於質量12.1、質量15、質量25.3、質量26.3及質量85.6之得自RGA質譜儀的即時信號。如圖4B所述,五個原子質量在約20秒時經歷強度上急遽的增加。自約25至30秒,五個原子質量達到最大強度。在約35秒時,五個原子質量的強度掉回其原本的等級。因此,此測試說明與發弧現象相關聯之光阻的熱分解,在質量12.1、質量15、質量25.3、質量26.3及質量85.6上造成額外的尖峰。
如上述,使用氟基蝕刻氣體可能潛在地遮蔽指示與發弧相關聯之光阻分解的發生之質量尖峰。藉由在80mTorr之壓力下將150SCCM CF4/50 N2流入蝕刻室中,而實施CF4/N2蝕刻氣體混合物之氣體濃度的即時分析。此處沒有電漿產生。得自RGA質譜儀之關於CF4/N2氣體混合物的即時信號顯示在圖5中。由圖5,得自質譜儀之CF4/N2即時信號的特徵為在質量12.1及25.3之巨大尖峰,其極可能遮蔽任何因為發弧造成的強度增加。比較圖4A及圖5之即時信號,已判定在質量15及質量26.3造成額外尖峰的發弧現象可容易地在CF4蝕刻氣體中偵測到。
較佳實施例僅為說明之目的而不應視為任何限制。本發明之範疇係由隨附之申請專利範圍所界定,而不是先前的說明;且落入本申請專利範圍之範圍之所有變動及等效物將包含在其中。
100...電漿處理設備
102...反應室
104...基板支座
106...基板
108...頂板
110...噴淋頭電極
112...氣體供應器
114...氣體通道
116A...功率源
116B...功率源
118...電漿限制環組件
120...氣體感測器
122...取樣構造
124...氣體線
126...警報器
200...感應式耦合電漿處理設備
202...反應室
204...基板支座
206...基板
208...介電窗
210...氣體分配構件
212...氣體供應器
216...能量源
218A...RF源
218B...RF阻抗匹配電路
220...氣體感測器
222...取樣構造
224...氣體線
226...警報器
圖1A為電漿設備之例示實施例的橫剖面圖。
圖1B為感應式耦合電漿處理設備的橫剖面圖。
圖2A為得自RGA質譜儀之關於在Ar電漿中以有機光阻塗佈之矽試樣之處理之強度為原子質量的函數之即時信號。
圖2B為得自RGA質譜儀之關於在Ar電漿中在處理期間在試樣背側施加熱膠之以有機光阻塗佈之矽試樣之處理之強度為原子質量的函數之即時信號。
圖2C為得自RGA質譜儀之關於在Ar電漿中之處理期間之矽試樣的處理之強度為原子質量的函數之即時信號。
圖3為得自RGA質譜儀之關於在CF4電漿中之矽試樣的處理之強度為原子質量的函數之即時信號。
圖4A為得自RGA質譜儀之關於在Ar電漿中以有機光阻塗佈之矽試樣之處理之強度為在發弧現象發生期間之原子質量的函數之即時信號。
圖4B為得自RGA質譜儀之關於在Ar電漿中以有機光阻塗佈之矽試樣之處理之強度為在發弧現象發生期間之時間的函數之即時信號。
圖5為得自RGA質譜儀之關於CF4/N2氣體混合物之強度為原子質量的函數之即時信號,在其中沒有電漿產生。
100...電漿處理設備
102...反應室
104...基板支座
106...基板
108...頂板
110...噴淋頭電極
112...氣體供應器
114...氣體通道
116A...功率源
116B...功率源
118...電漿限制環組件
120...氣體感測器
122...取樣構造
124...氣體線
126...警報器

Claims (10)

  1. 一種偵測基板發弧的方法,該方法係在一半導體電漿處理設備中偵測基板發弧,該半導體電漿處理設備包含:一基板支座,用以在一反應室之一內部內支撐一基板;一氣體供應器,使用一氣體分配構件以供應處理氣體至該反應室之該內部;一功率源,供應能量進入該反應室之該內部中,並將該處理氣體激化成一電漿狀態以處理該基板;一質譜儀,用以在電漿處理期間監視該反應室中之氣體物種,以辨識由基板發弧所產生的氣體物種;以及一警報器,當由基板發弧所產生之該氣體物種被辨識出時,產生一警報信號,該方法包含以下步驟:將一基板放置在該基板支座上;將處理氣體導入該反應室中;由該處理氣體產生一電漿;以該電漿處理該基板;在電漿處理期間,以該質譜儀監視在該反應室中所產生之選定氣體物種之即時質譜儀光譜信號的強度,其中該選定氣體物種係由一基板發弧現象所產生;及當該強度大於一門檻值時,偵測出該發弧現象。
  2. 如申請專利範圍第1項之偵測基板發弧的方法,其中該基板包含:鋁、銅、矽、有機介電質或有機光阻;而由該基板發弧現象所產生之該選定氣體物種包含:含鋁、含銅或含矽物種及/或該有機材料的分解產物。
  3. 如申請專利範圍第1項之偵測基板發弧的方法,更包含識別由該基板發弧現象所產生之該選定氣體物種,該識別方法包含:在不存在該基板發弧現象的情況下,監視該處理氣體之即時質譜儀光譜信號的強度;在該基板發弧現象期間,監視即時質譜儀光譜信號的強度;及比較在不存在該基板發弧現象的情況下,該處理氣體之即時 質譜儀光譜信號的強度,及在該基板發弧現象期間,該即時質譜儀光譜信號的強度。
  4. 如申請專利範圍第1項之偵測基板發弧的方法,其中監視即時質譜儀光譜信號之強度的步驟係以一殘留氣體分析器(RGA,residual gas analyzer)質譜儀而加以實施。
  5. 如申請專利範圍第3項之偵測基板發弧的方法,其中該選定氣體物種包含分解產物,其在一殘留氣體分析器(RGA)中產生在12.1、15、25.3、26.3、85、85.6或86原子質量單位(AMU,atomic mass units)的信號。
  6. 如申請專利範圍第1項之偵測基板發弧的方法,更包含當偵測到一發弧現象時,產生一警報信號以停止該電漿處理。
  7. 如申請專利範圍第1項之偵測基板發弧的方法,其中將處理氣體導入該反應室的步驟係藉由經一噴淋頭注入處理氣體而加以施行;而監視選定氣體物種之即時質譜儀光譜信號之強度的步驟包含收集來自該噴淋頭中之一通道或鄰近該基板之一取樣管之氣體物種。
  8. 如申請專利範圍第1項之偵測基板發弧的方法,其中以該電漿處理該基板的步驟包含:(a)半導體、金屬或介電質的電漿蝕刻;或(b)導電或介電材料的沉積。
  9. 如申請專利範圍第8項之偵測基板發弧的方法,其中用於電漿蝕刻之處理氣體包含碳氫化合物氣體、氟碳化合物氣體、氫氟碳化合物氣體、含鹵素氣體、含氣氣體、含氮氣體或惰性氣體;或其混合物。
  10. 如申請專利範圍第8項之偵測基板發弧的方法,其中用於沉積的處理氣體包含選自於由SiH4、SiF4、Si2H6、四乙氣基矽烷(TEOS,tetraethylorthosilicate)、四甲基環四矽烷(TMCTS,tetramethylcyclotetrasiloxane)所組成之群組之單獨含矽反應物氣體,或者與包含H2、O2、N2、NH3、NF3、N2O、及NO之額外處理氣體相結合;及其混合物。
TW098115717A 2008-05-12 2009-05-12 藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象 TWI591742B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/149,982 US8158017B2 (en) 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Publications (2)

Publication Number Publication Date
TW201001582A TW201001582A (en) 2010-01-01
TWI591742B true TWI591742B (zh) 2017-07-11

Family

ID=41267171

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106100910A TW201712776A (en) 2008-05-12 2009-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
TW098115717A TWI591742B (zh) 2008-05-12 2009-05-12 藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106100910A TW201712776A (en) 2008-05-12 2009-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Country Status (6)

Country Link
US (2) US8158017B2 (zh)
JP (1) JP5536041B2 (zh)
KR (1) KR101570552B1 (zh)
CN (1) CN102027576B (zh)
TW (2) TW201712776A (zh)
WO (1) WO2009139828A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITRM20080304A1 (it) * 2008-06-11 2009-12-12 Univ Palermo Dispositivo portatile per la rilevazione di scariche parziali
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US8502689B2 (en) * 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
KR101843443B1 (ko) 2011-10-19 2018-05-15 삼성전자주식회사 플라즈마 설비 및 그의 관리방법
DE102012200211A1 (de) * 2012-01-09 2013-07-11 Carl Zeiss Nts Gmbh Vorrichtung und Verfahren zur Oberflächenbearbeitung eines Substrates
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
KR101288047B1 (ko) 2012-05-25 2013-07-23 주식회사 나노텍 멀티 프리퀀시를 이용한 가스 분석장치
CN103834927B (zh) * 2014-03-17 2016-08-17 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法
JP6220319B2 (ja) * 2014-07-17 2017-10-25 株式会社日立ハイテクノロジーズ データ解析方法及びプラズマエッチング方法並びにプラズマ処理装置
WO2017087378A1 (en) * 2015-11-16 2017-05-26 Tokyo Electron Limited Advanced optical sensor and method for plasma chamber
US10773282B2 (en) 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
WO2018094219A1 (en) * 2016-11-18 2018-05-24 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
CN110431655A (zh) 2017-03-17 2019-11-08 东京毅力科创株式会社 用于蚀刻度量改进的表面改性控制
KR102090057B1 (ko) 2017-12-11 2020-03-17 주식회사 이엘 반도체 공정 챔버 및 가스라인의 가스분석을 위한 tof ms 가스질량분석 모니터링 시스템
KR102524810B1 (ko) 2017-12-26 2023-04-24 삼성전자주식회사 반도체 공정의 제어 방법
US10975470B2 (en) * 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR20200060624A (ko) * 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US11817297B2 (en) 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241152A (en) * 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
DE4127504A1 (de) * 1991-08-20 1993-02-25 Leybold Ag Einrichtung zur unterdrueckung von lichtboegen
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5698082A (en) * 1993-08-04 1997-12-16 Balzers Und Leybold Method and apparatus for coating substrates in a vacuum chamber, with a system for the detection and suppression of undesirable arcing
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
CN1237273A (zh) * 1997-06-30 1999-12-01 松下电器产业株式会社 制造半导体薄膜的方法及其所用设备
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US5979225A (en) * 1997-08-26 1999-11-09 Applied Materials, Inc. Diagnosis process of vacuum failure in a vacuum chamber
CN1186476C (zh) * 1997-09-17 2005-01-26 东京电子株式会社 检测并防止射频等离子体系统中电弧放电的装置和方法
AU9501998A (en) * 1997-09-23 1999-04-12 On-Line Technologies, Inc. Method and apparatus for fault detection and control
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
JP3296292B2 (ja) * 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
US6346428B1 (en) * 1998-08-17 2002-02-12 Tegal Corporation Method and apparatus for minimizing semiconductor wafer arcing during semiconductor wafer processing
US6286362B1 (en) * 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
TW440907B (en) * 2000-03-02 2001-06-16 United Microelectronics Corp Plasma arcing sensor
TW505939B (en) * 2000-03-28 2002-10-11 Kumamoto Technopolis Foundatio Apparatus for detecting plasma anomalous discharge and method of detecting the same
JP2001313280A (ja) * 2000-04-02 2001-11-09 Axcelis Technologies Inc ポスト・エッチ・フォトレジストおよび残留物の除去法
US6603538B1 (en) * 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6815362B1 (en) * 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
JP3541359B2 (ja) 2001-09-19 2004-07-07 独立行政法人 科学技術振興機構 超音波プローブの一部を内蔵した基板載置台及び超音波プローブ貫通孔の密閉装置
US7092077B2 (en) * 2001-09-24 2006-08-15 Entegris, Inc. System and method for monitoring contamination
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP4074079B2 (ja) * 2001-11-01 2008-04-09 Necエレクトロニクス株式会社 プラズマ処理装置およびプラズマ処理方法
US6741092B2 (en) * 2001-12-28 2004-05-25 Formfactor, Inc. Method and system for detecting an arc condition
US6703250B2 (en) * 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6736944B2 (en) * 2002-04-12 2004-05-18 Schneider Automation Inc. Apparatus and method for arc detection
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US7006205B2 (en) * 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US7247252B2 (en) * 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
WO2004003968A2 (en) * 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040031699A1 (en) * 2002-08-19 2004-02-19 Applied Materials, Inc. Method for performing real time arcing detection
AU2003272656A1 (en) * 2002-09-27 2004-04-19 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
US6927076B2 (en) * 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US6759342B2 (en) * 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6787484B2 (en) * 2002-12-17 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd Method of reducing visible light induced arcing in a semiconductor wafer manufacturing process
KR100488545B1 (ko) * 2003-07-23 2005-05-11 삼성전자주식회사 반도체 제조설비의 잔류개스 분석장치
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7064812B2 (en) * 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
US7015414B2 (en) * 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP4754419B2 (ja) * 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム

Also Published As

Publication number Publication date
US20090280581A1 (en) 2009-11-12
CN102027576B (zh) 2013-02-27
KR101570552B1 (ko) 2015-11-19
CN102027576A (zh) 2011-04-20
KR20110021768A (ko) 2011-03-04
TW201712776A (en) 2017-04-01
JP5536041B2 (ja) 2014-07-02
JP2011523774A (ja) 2011-08-18
TW201001582A (en) 2010-01-01
WO2009139828A2 (en) 2009-11-19
US8158017B2 (en) 2012-04-17
US20120175060A1 (en) 2012-07-12
WO2009139828A3 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
TWI591742B (zh) 藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象
CN107424898B (zh) 等离子体处理装置的清洁方法
US6492186B1 (en) Method for detecting an endpoint for an oxygen free plasma process
US8747686B2 (en) Methods of end point detection for substrate fabrication processes
JP5193604B2 (ja) フォトレジスト及びエッチング残渣の低圧除去方法
TWI808080B (zh) 蝕刻基板的方法、基板處理室以及光學放射頻譜儀組件
TWI409866B (zh) 自低k介電材料移除光阻及後蝕刻殘留物之氣體混合物及其使用方法
CN101536155A (zh) 用于低电介质常数材料的具有原位背侧聚合物去除的等离子体电介质蚀刻工艺
TWI828612B (zh) 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備
Yamamoto et al. Feature profiles on plasma etch of organic films by a temporal control of radical densities and real-time monitoring of substrate temperature
Kogelschatz et al. Analysis of the chemical composition and deposition mechanism of the SiO x–Cl y layer on the plasma chamber walls during silicon gate etching
KR100690144B1 (ko) 플라즈마를 이용한 가스분석장치
Cunge et al. Enhancement of the recombination rate of Br atoms by CF 4 addition and resist etching in HBr/Cl 2/O 2 plasmas
Vallier et al. Chemical topography analyses of silicon gates etched in HBr/Cl 2/O 2 and HBr/Cl 2/O 2/CF 4 high density plasmas
US20200091018A1 (en) Systems and methods for improved performance in semiconductor processing
JP3563214B2 (ja) プラズマエッチング方法
Shimmura et al. Electrical conductivity of sidewall-deposited fluorocarbon polymer in SiO 2 etching processes
Kim et al. Oxide via etching in a magnetically enhanced CHF3/CF4/Ar plasma
Yoo et al. Characteristics of SiO₂ Etching by Capacitively Coupled Plasma with Different Fluorocarbon Liquids (C₇F₁₄, C₇F₈) and Fluorocarbon Gas (C₄F₈)
Stillahn et al. The role of plasma-surface interactions in process chemistry: mechanistic studies of a-CNx deposition and SF6/O2 etching of silicon
Stillahn The role of plasma-surface interactions in process chemistry: Mechanistic studies of a-carbon nitride deposition and sulfur fluoride/oxygen etching of silicon
Ling Plasma etching of dielectric materials using inductively and capacitively coupled fluorocarbon discharges: Mechanistic studies of the surface chemistry