CN102027576A - 通过痕量气体浓度的监测来检测晶圆等离子处理中的电弧事件 - Google Patents

通过痕量气体浓度的监测来检测晶圆等离子处理中的电弧事件 Download PDF

Info

Publication number
CN102027576A
CN102027576A CN2009801174991A CN200980117499A CN102027576A CN 102027576 A CN102027576 A CN 102027576A CN 2009801174991 A CN2009801174991 A CN 2009801174991A CN 200980117499 A CN200980117499 A CN 200980117499A CN 102027576 A CN102027576 A CN 102027576A
Authority
CN
China
Prior art keywords
gas
substrate
plasma
plasma treatment
gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801174991A
Other languages
English (en)
Other versions
CN102027576B (zh
Inventor
埃里克·赫德森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102027576A publication Critical patent/CN102027576A/zh
Application granted granted Critical
Publication of CN102027576B publication Critical patent/CN102027576B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

提供了检测半导体等离子处理装置中的基板电弧的方法。将基板放置在等离子处理装置的反应室内的基板支撑件上。将工艺气体引入该反应室。由该工艺气体产生等离子,且用该等离子处理该基板。监测在等离子处理过程中该反应室中产生的选定气体物种的实时光谱信号强度。该选定气体物种是由基板电弧事件生成的。当该强度高于临界值时,该电弧事件被检测到。

Description

通过痕量气体浓度的监测来检测晶圆等离子处理中的电弧事件
背景技术
等离子处理装置被用于通过包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、离子注入及光阻移除的技术来处理基板。一种典型的用于等离子处理的等离子处理装置包括包含上、下电极的反应室。介于这些电极之间的射频等离子产生能量离子和中性物种,蚀刻该反应室内部的该晶圆基板和室部件。
发明内容
在一个实施方式中,提供了在半导体等离子处理装置中检测基板电弧的方法。将基板放置在等离子处理装置的反应室内的基板支撑件上。将工艺气体引入该反应室。由该工艺气体生成等离子,且用该等离子处理该基板。监测在等离子处理过程中在该反应室内产生的选定气体物种的实时光谱信号强度。该选定气体物种是由基板电弧事件产生的。当该强度高于临界值时,该电弧事件被检测到。
在另一个实施方式中,等离子处理装置包括基板载台,支撑位于反应室内部的基板。气体供给,使用气体分配构件将工艺气体供应至该反应室内部。电源,向该反应室内部供应能量并激励该工艺气体至等离子状态,用以处理该基板。气体传感器,适用于在等离子处理过程中监测该反应室内的气体物种,以识别由基板电弧产生的气体物种。警报器,当由基板电弧产生的气体物种被识别到时产生警示信号。
附图说明
图1A是等离子装置的示例性实施方式的横剖面视图。
图1B是电感耦合等离子处理装置的横剖面视图。
图2A是来自强度作为原子质量的函数的RGA质谱仪的实时信号,用于在氩等离子中处理覆着有机光阻的硅试片(silicon coupon)。
图2B是来自强度作为原子质量的函数的RGA质谱仪的实时信号,用于在氩等离子中的处理过程中处理覆着有机光阻的硅试片,导热膏用于该试片的背面。
图2C是来自强度作为原子质量函数的RGA质谱仪的实时信号,用于在氩等离子中的处理过程中处理硅试片。
图3是来自强度作为原子质量函数的RGA质谱仪的实时信号,用于在CF4等离子中处理硅试片。
图4A是电弧事件发生期间来自强度作为原子质量函数的RGA质谱仪的实时信号,用于在氩等离子中处理覆着有机光阻的硅试片。
图4B是电弧事件发生期间来自强度作为时间函数的RGA质谱仪的实时信号,用于在氩等离子中处理覆着有机光阻的硅试片。
图5是来自强度作为原子质量函数的RGA质谱仪的实时信号,用于CF4/N2气体混合物,其中没有等离子产生。
具体实施方式
半导体材料可通过选择性地建立和移除材料层,被制作为具体的电子器件,例如晶体管、二极管、电容器等等。在集成电路制作中,单个芯片上器件数目的持续增加和最小特征尺寸的相应减小,已经对集成电路制作的许多制作步骤提出了日益困难的需求,该制作步骤包括将不同材料层沉积在有时困难的拓扑结构上,以及在那些层上移除材料和形成特征。
等离子体增强的化学气相沉积(PECVD)可被用于在半导体集成电路中形成各种薄膜。举例来说,PECVD可形成具有高纯度和高品质的薄膜,例如SiO2、Si3N4、Si或类似物。在形成薄膜的反应过程中,原料可以气体组分的形式被供应至反应室,从而使气体分子在气体中和在该基板的表面被热解离和组合,从而形成薄膜。
蚀刻是用于从给定基板移除半导体或其他材料的层,并在集成电路上形成特征的已知技术。举例来说,通过沉积掩模覆层例如有机光阻,可以在基板层形成孔(例如沟或通孔)。经过蚀刻之后,该掩模层可被图案化为沟、微孔或通孔。
归因于与湿式蚀刻的各种方法相比的更好的溶解性和改进的尺寸和形状控制能力,等离子蚀刻在生产电子器件中是特别值得注意的。因此,在要求更好的图案控制和勾勒(例如处理半导体晶圆以形成大尺寸集成器件和集成电路)的情况下,等离子蚀刻被积极利用。
在包括干式蚀刻或沉积的微电子制造中,等离子体反应器可被应用于在半导体晶圆上完成各种工艺。晶圆被放置在该反应器的真空室内部,且工艺气体,包括蚀刻或沉积气体,被引入至该室。这些气体被激励点火并维持等离子。视形成等离子的这些气体的组成而定,该等离子可被用于从该晶圆蚀刻特定的材料或可被用于沉积材料的薄膜层至该晶圆上。该处理室被配置为当射频(RF)能量被施加至该处理室的一个或多个电极时,接收工艺气体(即蚀刻化学品)。该处理室内的压力同样被控制用于特定工艺。通过将该所需RF能量施加至该电极,该室内的这些工艺气体被激发从而建立等离子。该等离子由此产生,以便完成该半导体晶圆的选定层的所需蚀刻。
随着集成电路器件继续缩减其物理尺寸和其工作电压,其相关的成品率变得对影响关键特征的任何缺陷更加敏感。晶圆表面上的缺陷在光刻和蚀刻步骤中会局部破坏图案转移。
这些缺陷的一个来源与电弧事件有关,电弧事件会发生在晶圆的等离子处理过程中。通常,电弧指的是瞬时高密度等离子丝,其在该反应器内的两个表面和/或该晶圆之间携带电流。基板电弧指的是发生在反应器与晶圆之间、或者晶圆内的两个位置之间的电弧。基板电弧可能导致该晶圆的材料降解,包括不受欢迎的材料溅射、材料沉积或一些材料的高温挥发。尽管电弧通常具有小的直径和短的持续时间,电弧的能量密度会导致重大损害,即使该总功耗小。举例来说,基板电弧事件能够产生细微的凹陷(即,在微米数量级上),这会损害关键特征,包括栅结构、金属间电介质层(无机的或有机的)或金属互连线,导致集成电路元件故障或失灵。因此,需要一种实时检测基板电弧的可靠方法,以确保在多个晶圆被损坏之前,这些经历基板电弧的等离子处理装置被离线处理,用以维修。
提供一种通过由该等离子处理装置内的基板电弧产生的气体物种的实时监测和气体物种的识别,检测基板电弧的方法。晶圆内部或晶圆表面与等离子室表面之间的基板电弧事件将挥发性物种释放入该等离子。举例来说,基板电弧事件会引起该基板的快速升温并释放与硅、铝、铜(即含铝、铜或硅物种)或有机材料(即光阻或有机电介质)有关的挥发性分解产物,导致该晶圆表面附近的分解产物浓度突然增大或大幅度上涨。例如,与光阻分解有关的产物会产生更多的稳定分子,其能够扩散到位于该晶圆表面附近的能够测量气体浓度的传感器。另一方面,与该硅、铝或铜有关的分解产物可能在监测之前就浓缩在该晶圆表面上。
尽管基板电弧事件能够产生气态物种(例如,来自有机材料分解),但是由于工艺气体(即,蚀刻气体或CVD气体)的高背景浓度,某些气态物种可能是不可监测的。用于蚀刻的工艺气体的示例包括碳氢化合物气体(例如CxHy)、氟碳化合物气体(例如CxFy)、氢氟碳化合物气体(例如CxHyFz)、含卤气体(例如NF3、HBr、Cl2)、含氧气体(例如O2)、含氮气体(例如N2、NH3)或惰性气体(例如He、Ar)。用于薄膜沉积的工艺气体的示例包括选自由SiH4、SiF4、Si2H6、正硅酸四乙酯(TEOS)、四甲基环四硅氧烷(TMCTS)组成的组的含硅反应气体及其混合物。用于薄膜沉积的这些工艺气体可包括H2、O2、N2、NH3、NF3、N2O和NO,及其混合物。
图1揭示用于蚀刻的示例性半导体材料等离子处理装置100。等离子处理装置100包括反应室102,其包含基板支撑件104,在等离子处理过程中基板106被支撑在其上。在该反应室102内部用于支撑基板106的该基板支撑件104可包括夹紧器件,优选静电卡盘,其在处理过程中可操作性地将该基板106夹紧在该基板支撑件104上。
显示于图1中的该示例性等离子处理室100包括喷头电极组件,其具有构成该反应室102的壁的顶板108和连接至该顶板108的喷头电极110。气体供给112,经由喷头电极110供应工艺气体至该反应室102内部。喷头电极110包括多个延伸贯穿该喷头电极110厚度的气体通道114,用于将工艺气体注入位于喷头电极110和该基板支撑件104之间的等离子反应室102的空间。
该工艺气体流经喷头电极110并进入该反应室102内部。接着,该工艺气体在该等离子处理室100内通过电源116A,例如RP源驱动喷头电极110,和/或位于从约0.3至约600MHz的一个或多个频率(例如2MHz、13.56MHz、60MHz)的电源116B驱动该基板支撑件104内的电极位于从约0.3至约600MHz的一个或多个频率(例如2MHz、13.56MHz、60MHz),被激励至等离子状态。施加至该喷头电极110的该RF能量可被转变为完成不同的工艺步骤,例如当不同气体组成被供应至该等离子处理装置100。在另一个实施方式中,喷头电极110可接地。
在一个实施方式中,通过从两个RF源至该喷头电极110和/或该基板支撑件104供应RF能量,该等离子可在等离子处理室100内部被激励,或者该喷头电极110可电性接地并且位于单一频率或多个频率的RF能量可被供应至该基板支撑件104。此外,可在喷头电极110和基板支撑件104外部提供等离子约束环组件118以将该等离子限制在介于该喷头电极110和基板支撑件104之间的该空间内。等离子约束环和用于RF电容耦合等离子体反应器的二次接地的详细讨论可见于共同受让的美国专利号5,534,751,其在此处纳入参考。
一旦基板电弧事件发生并且释放与分解产物有关的气态物种,最好在这些气体被稀释入这些工艺气体的动态流并且这些分解产物在该蚀刻气体内的浓度下降到低于该气体监测器的监测极限之前,监测这些分解产物。
通过由具有采样结构122的气体传感器120收集挥发性分解产物用于分析并且沿气体管线124运送至气体传感器,可检测到与基板电弧有关的气态物种。为了防止这些气态物种的冷凝,可加热气体管线124。对于更高灵敏度的与基板电弧有关的该气态物种,采样结构122可被放置在放于基板支撑件104上的该基板106附近。
在一个实施方式中,采样结构122可为放于该等离子约束环118外部的管。示例性的采样管材料可包括石英、硅、硅氮化物或硅碳化物或其它耐等离子性陶瓷材料例如氧化钇。在另一个实施方式中,采样结构122可为直接嵌入喷头电极110的通道。一旦检测到基板电弧,警报器126产生信号。举例来说,该警报器126可为声音警报、视觉警报、电子记录或指导操作员采取纠正措施使基板电弧最小化或终止等离子处理。
在另一个实施方式中,如图2所揭示,通过在低压下(即低于50mTorr)供应工艺气体至真空室和向该气体应用射频(RF)能量,电感耦合等离子(ICP)处理装置200可被用于材料在基板上的沉积(例如等离子增强化学气相沉积或PECVD)和等离子蚀刻。图2是ICP等离子处理装置200的实施方式的横剖面视图。ICP等离子处理室的示例是由位于加利福尼亚州费利蒙市的Lam研究有限公司制造的
Figure BPA00001255623700071
蚀刻或沉积系统。举例来说,该ICP等离子处理装置同样揭示于共同受让的美国专利号4,948,458中,其被整体纳入参考。反应室202包括基板支撑件204,用于支撑位于该反应室202内部的基板206。电介质窗208构成反应室202的顶壁。工艺气体通过气体分配构件210被喷射入该反应室202内部。气体分配构件210的举例包括喷头、气体喷射器或其他合适的设施。气体供给212通过气体分配构件210将工艺气体供应入反应室202内部。
一旦将工艺气体引入反应室202内部,它们就被向反应室202内部供应能量的能源216激励至等离子状态。优选地,该能源216是由RF源218A和RF阻抗匹配电路218B提供能量以电感耦合RF能量至反应室202的外部板状天线。将RF能量应用至板状天线产生的电磁场激励该工艺气体在基板206上部形成高密度等离子P(例如1011-1012离子/cm3)。
电介质窗208在板状天线之下,气体分配构件210被放置在电介质窗208下方。高密度等离子产生在气体分配构件210和基板206之间的地带,用于基板206的沉积或蚀刻。
与图1的实施方式类似,通过用具有采样结构222的气体传感器220收集这些气态物种用于分析并且沿气体管线224运送至气体传感器,可检测到与基板电弧有关的气态物种。为了防止这些分解产物的冷凝,可加热气体管线224。对于更高灵敏度的分解产物,采样结构222被放置在放于基板支撑件204上的基板206附近。一旦检测到基板电弧,警报器226产生警示信号。举例来说,该警报器226可为声音警报、视觉警报、电子记录或指导操作员采取纠正措施使基板电弧最小化或终止等离子处理。
在优选的实施方式中,该气体传感器120/220可为质谱仪,优选地,残余气体分析(RGA)质谱仪。RGA质谱仪适于在真空系统内测量痕量气体浓度并且通过分析该样品气体运转。该样品气体被电离并且这些离子被四极电场采用直流电(DC)与射频(RF)电位的组合基于质荷比分离。该仪器测量该离子通量对质荷比,并且因此提供该样品气体的详细化学分析。该RGA可配备电子倍增器用于超高灵敏度或者更高的扫描速度(例如单一质量20Hz或更高)。在优选的实施方式中,该RGA可被用于监测光阻的分解副产物,其在超过(over)该背景工艺气体时是可测得的。举例来说,在等离子处理过程中,质谱仪会产生实时信号。来自该质谱仪的实时信号可包括原子质量的全光谱(例如高达200AMU)或者在单一质量的收集。
如上所述,归因于工艺气体的高背景浓度,并不是所有与基板电弧事件有关的气态物种易被检测到。举例来说,在实时RGA质谱表征过程中,与基板电弧事件有关的该气态物种与该工艺气体会产生重叠峰(即两个峰在同一个AMU)。在这种情况下,由于这些气态物种相对于该工艺气体的较低浓度,与这些气态物种有关的峰会不能监测到。
因此,与基板电弧有关的选定气体物种在存在工艺气体的情况下必须是易于检测到的。通过将(i)在没有电弧事件的情况下该工艺气体的基线(或参考)光谱信号与(ii)在基板电弧事件过程中的光谱信号进行对比,与基板电弧有关的选定气体物种可被识别。通过对比这两个不同的光谱信号,与基板电弧有关的选定气体物种会易于识别。
在备选实施方式中,该气体传感器可包括电感耦合等离子光发射(ICP-OE)光谱仪、红外吸收光谱仪或傅里叶变换红外(FTIR)光谱仪。然而,对于某些应用,该ICP-OE和FTIR技术会不是很令人满意,因为监测不同气体的能力强烈依赖于原子和分子结构。
实施例1
为了模拟等离子处理过程中的电弧事件,硅试片(大约3cmx3cm)被覆着193nm的有机光阻膜层并且随后在等离子处理过程中在氩等离子中热分解。这些试验证明,使用该RGA质谱技术,在惰性气体等离子中有机光阻副产物的热分解是可监测的。这些试验是在由LAM研究有限公司(加利福尼亚州费利蒙市)制造的
Figure BPA00001255623700102
FLEX-3XTM电介质蚀刻系统中完成的,并且气体物种是用由斯坦福研究系统公司(加利福尼亚州,森尼韦尔市)制造的RGA200残余气体分析仪监测。
该热分解试验是通过在硅试验试片(大约3cmx3cm)上覆着193nm有机光阻并且在氩等离子中等离子处理该试验试片来完成。每个试验试片被放置在裸露的硅晶圆上。200SCCM氩的气体混合物在室压80mTorr下被引入该蚀刻室。双频RF能量被施加至该下电极,在约2MHz频率下约1500W和在约60MHz频率下约800W。该下电极的温度被设定在约60℃;该上电极的温度被设定在约120℃。在等离子处理过程中,该硅晶圆的温度比该下电极的温度,被设定为约60℃,高约20℃至约30℃。安装在该硅晶圆上的该试验试片的温度潜在地比较高,取决于该试片与该硅晶圆之间的热耦合程度。在这种情况下,伴随欠佳的热接触,该试验试片有望获得比该硅晶圆更高的温度。总工艺时间为约120秒。在等离子处理过程中,流经该处理室的气体组成被RGA质谱监测。该等离子体暴露与加热的组合导致了该有机光阻的热分解。图2A是来自强度(以任意单位)作为原子质量(原子质量单位或AMU)函数的RGA质谱仪的有机光阻在氩等离子中分解的实时信号。
为了识别与有机光阻分解有关的该原子质量峰,测量了来自RGA质谱仪的硅以及具有未分解的光阻膜层的硅的实时信号。对于(i)在氩等离子中的没有试片的裸露硅晶圆,和(ii)在氩等离子中的与具有导热膏的裸露硅晶圆接触的光阻覆膜的硅试片,重复上面所述的该试验条件。
为了防止热分解,该导热膏被施加至该试验试片背面,以方便将等离子处理产生的热量从该光阻膜层移除。这导致相比于没有导热膏的情况,该试验试片的温度更低。裸露硅试片和该光阻覆着的具有导热膏的硅试片的RGA质谱仪实时信号分别描述于图2B和2C。图2A-2C的实时信号是在等离子工艺的最初几秒钟内测量的。
对比图2A-2C,已确定原子质量86处的峰与有机光阻的热分解有关,其随着等离子工艺的继续,同样呈现出作为时间函数的逐渐衰减。因此,该试验已经证明光阻的该热分解在质量86处产生了超高峰。
然而,尽管质量86处的峰被识别为光阻分解的潜在指示,使用氟基蚀刻气体会潜在地掩盖质量86处的与光阻分解有关的该峰。使用CF4气体而非氩气来处理裸露硅试片,重复上面所述的试验。CF4等离子中的裸露硅的该RGA质谱仪实时信号被描述于图3。从图3的该实时信号,已经确定在不存在有机光阻的情况下,CF4等离子被质量85处和质量86处的两个强峰所表征。因此,如果该工艺气体是氟碳基化合物(例如CF4),监测质量峰86以指示有机光阻分解会令人不十分满意。
实施例2
在下一组试验中,电弧事件中的有机光阻分解产物被RGA质谱检测到。如上所述,这些试验是在
Figure BPA00001255623700111
Figure BPA00001255623700112
FLEX-3XTM电介质蚀刻系统加上RGA200残余气体分析仪上完成的,用于等离子处理过程中气体浓度的实时分析。
硅试片被覆膜193nm有机光阻并且在氩等离子中经历等离子处理。在等离子处理过程中,流经该处理室的这些气体组成被RGA质谱监测。为了引起电弧,通过将金属线连接至该试片,光阻覆膜的晶圆被连接至部分接地的裸露硅试片(约3cmx3cm)。
在室压80mTorr下,将575SCCM的氩气流引入该蚀刻室。将具有在约27MHz频率下约1000W的能量的RF能施加至该下电极。该下电极的温度被设定为约20℃;该上电极的温度被设定为约80℃。在等离子处理过程中,流经该处理室的气体组成被RGA质谱监测。该硅晶圆被暴露在等离子工艺约60秒,处理约25秒后,在其中检测到电弧事件。试验完成后,通过该硅试片和该喷头电极的视觉检查,确定该电弧事件。该硅试片和喷头电极两者均呈现出变色,该变色是由电弧引起的损害的特征。
图4A是有机光阻在氩等离子中分解的强度(以任意单位)作为原子质量(原子质量单位或AMU)函数的该RGA质谱仪的实时信号。图4A中感兴趣区域(即质量12.1、质量15、质量25.3、质量26.3和质量85.6),由黑色箭头标示。图4B是质量12.1、质量15、质量25.3、质量26.3和质量85.6的强度作为等离子处理时间函数的RGA质谱仪的实时信号。如图4B所描述,在约20秒处五个原子质量在强度上急剧增大。从约25至30秒,这五个原子质量达到最大强度。在约35秒处,这五个原子质量的强度降到它们的初始水平。因此,这个试验已经证明,与电弧事件有关的光阻热分解在质量12.1、质量15、质量25.3、质量26.3和质量85.6处引起了超高峰。
如上所述,氟基蚀刻气体的使用会潜在地掩盖指示与电弧事件有关的光阻分解发生的这些质量峰。通过将150SCCMCF4/50N2在80mTorr压力下流入该蚀刻室,完成CF4/N2蚀刻气体混合物的气体浓度实时分析。没有等离子产生。CF4/N2气体混合物的RGA质谱仪的实时信号描述于图5。从图5,来自该质谱仪的CF4/N2实时信号在质量12.1和25.3处被强峰表征,潜在地掩盖了由电弧引起的任何强度增大。对比图4A和图5的实时信号,已确定,引起质量15和质量26.3处的超高峰的电弧事件易于在CF4蚀刻气体中测得。
这些优选实施方式仅仅是描述性的,不应当被以任何方式认为是限制性的。本发明的范围由所提交权利要求书,而不是前面的叙述给出,并且落入权利要求范围内的所有改变和等同将被涵盖在其中。

Claims (19)

1.在半导体等离子处理装置中检测基板电弧的方法,包括:
将基板放置在等离子处理装置的反应室内的基板支撑件上;
将工艺气体引入至该反应室;
从该工艺气体产生等离子;
用该等离子处理该基板;
监测在等离子处理过程中该反应室内产生的选定气体物种的实时光谱信号强度,其中该选定气体物种由基板电弧事件产生;以及
检测当该强度高于临界值时的该电弧事件。
2.权利要求1的方法,其中该基板包括铝、铜、硅、有机电介质或有机光阻;且由该基板电弧事件产生的该选定的气体物种包括含铝、铜或硅的物种和/或该有机材料的分解产物。
3.权利要求1的方法,进一步包括识别由该基板电弧事件产生的选定的气体物种,包括:
在没有该基板电弧事件的情况下,监测该工艺气体的实时光谱信号强度;
在该基板电弧事件过程中监测实时光谱信号的强度;以及
将在没有该基板电弧事件情况下该工艺气体的实时光谱信号强度与在该基板电弧事件过程中的实时光谱信号强度进行对比。
4.权利要求1的方法,其中监测实时光谱信号强度是由残余气体分析(RGA)质谱仪、电感耦合等离子光发射(ICP-OE)光谱仪、红外吸收光谱仪或傅里叶变换红外(FTIR)光谱仪完成的。
5.权利要求3的方法,其中该选定的气体物种包括分解产物,其在残余气体分析仪(RGA)上在12、15、25、26、85或86原子质量单位(AMU)处产生信号。
6.权利要求1的方法,进一步包括当检测到电弧事件时产生警示信号,以终止该等离子工艺。
7.权利要求1的方法,其中将工艺气体引入至该反应室是通过经由喷头注入工艺气体完成的;且监测选定的气体物种的实时光谱信号强度包括从该喷头内的通道或邻近该基板的采样管收集气体物种。
8.权利要求1的方法,其中用等离子处理该基板包括:(a)半导体、金属或电介质的等离子蚀刻;或(b)导电或介电材料的沉积。
9.权利要求8的方法,其中用于等离子蚀刻的该工艺气体包括碳氢化合物气体、氟碳化合物气体、氢氟碳化合物气体、含卤气体、含氧气体、含氮气体和惰性气体及其混合物。
10.权利要求8的方法,其中用于沉积的工艺气体包括选自单独的SiH4、SiF4、Si2H6、正硅酸四乙酯(TEOS)、四甲基环四硅氧烷(TMCTS)或与包括H2、O2、N2、NH3、NF3、N2O和NO的辅助工艺气体的组合及其混合物的含硅反应气体。
11.等离子处理装置包括:
基板载台,用于支撑位于反应室内部的基板;
气体供给,使用气体分配构件将工艺气体供应至该反应室内部;
电源,向该反应室内部供应能量且激励该工艺气体至等离子状态,用以处理该基板;
气体传感器,适用于在等离子处理过程中监测该反应室内的气体物种,以识别由基板电弧产生的气体物种;以及
警报器,当识别到由基板电弧产生的气体物种时,用以生成警示信号。
12.权利要求11的等离子处理装置,其中该气体传感器是残余气体分析(RGA)质谱仪、电感耦合等离子光发射(ICP-OE)光谱仪、红外吸收光谱仪或傅里叶变换红外(FTIR)光谱仪。
13.权利要求11的等离子处理装置,其中该气体传感器是残余气体分析(RGA)质谱仪,适用于监测在等离子处理过程中来自工艺气体的实时质谱信号,且由基板电弧产生的该气体物种是有机光阻的分解产物。
14.权利要求11的等离子处理装置,其中该等离子处理装置是适用于半导体、金属或电介质的等离子蚀刻机;或适用于沉积导电或介电材料的沉积室。
15.权利要求11的等离子处理装置,其中该气体分配构件是喷头。
16.权利要求15的等离子处理装置,进一步包括:
适用于在等离子处理过程中收集来自该反应室的气体物种的采样结构;以及
适用于将气体物种传输至该气体传感器的气体管线。
17.权利要求16的等离子处理装置,其中该采样结构是该喷头内的通道或者邻近该基板载台的管;且该气体管线被加热。
18.权利要求11的等离子处理装置,其中该气体分配构件是喷头电极;且该电源是射频(RF)电源。
19.权利要求18的等离子处理装置,进一步包括等离子约束环组件,位于该喷头电极和该基板载台的外部。
CN2009801174991A 2008-05-12 2009-05-04 通过痕量气体浓度的监测来检测晶圆等离子处理中的电弧事件 Active CN102027576B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/149,982 US8158017B2 (en) 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US12/149,982 2008-05-12
PCT/US2009/002726 WO2009139828A2 (en) 2008-05-12 2009-05-04 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Publications (2)

Publication Number Publication Date
CN102027576A true CN102027576A (zh) 2011-04-20
CN102027576B CN102027576B (zh) 2013-02-27

Family

ID=41267171

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801174991A Active CN102027576B (zh) 2008-05-12 2009-05-04 通过痕量气体浓度的监测来检测晶圆等离子处理中的电弧事件

Country Status (6)

Country Link
US (2) US8158017B2 (zh)
JP (1) JP5536041B2 (zh)
KR (1) KR101570552B1 (zh)
CN (1) CN102027576B (zh)
TW (2) TWI591742B (zh)
WO (1) WO2009139828A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103834927A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITRM20080304A1 (it) * 2008-06-11 2009-12-12 Univ Palermo Dispositivo portatile per la rilevazione di scariche parziali
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US8502689B2 (en) * 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
KR101843443B1 (ko) 2011-10-19 2018-05-15 삼성전자주식회사 플라즈마 설비 및 그의 관리방법
DE102012200211A1 (de) * 2012-01-09 2013-07-11 Carl Zeiss Nts Gmbh Vorrichtung und Verfahren zur Oberflächenbearbeitung eines Substrates
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
KR101288047B1 (ko) 2012-05-25 2013-07-23 주식회사 나노텍 멀티 프리퀀시를 이용한 가스 분석장치
JP6220319B2 (ja) * 2014-07-17 2017-10-25 株式会社日立ハイテクノロジーズ データ解析方法及びプラズマエッチング方法並びにプラズマ処理装置
US10692705B2 (en) 2015-11-16 2020-06-23 Tokyo Electron Limited Advanced optical sensor and method for detecting an optical event in a light emission signal in a plasma chamber
JP6974668B2 (ja) 2016-03-31 2021-12-01 東京エレクトロン株式会社 ウェハレスドライクリーニング発光分光法を使用するドライエッチングプロセス特徴の制御
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
KR102090057B1 (ko) 2017-12-11 2020-03-17 주식회사 이엘 반도체 공정 챔버 및 가스라인의 가스분석을 위한 tof ms 가스질량분석 모니터링 시스템
KR102524810B1 (ko) 2017-12-26 2023-04-24 삼성전자주식회사 반도체 공정의 제어 방법
US10975470B2 (en) * 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR20200060624A (ko) * 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US11817297B2 (en) * 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing
JP7358679B1 (ja) * 2022-02-24 2023-10-10 株式会社日立ハイテク 診断装置及び診断方法並びに半導体製造装置システム及び半導体装置製造システム

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241152A (en) 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
DE4127504A1 (de) 1991-08-20 1993-02-25 Leybold Ag Einrichtung zur unterdrueckung von lichtboegen
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5698082A (en) 1993-08-04 1997-12-16 Balzers Und Leybold Method and apparatus for coating substrates in a vacuum chamber, with a system for the detection and suppression of undesirable arcing
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5993615A (en) 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
ID22140A (id) * 1997-06-30 1999-09-09 Matsushita Electric Ind Co Ltd Metoda untuk memproduksi film tipis semikonduktor dan peralatannya
US6534007B1 (en) 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US5979225A (en) 1997-08-26 1999-11-09 Applied Materials, Inc. Diagnosis process of vacuum failure in a vacuum chamber
WO1999014394A1 (en) 1997-09-17 1999-03-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in rf plasma systems
AU9501998A (en) 1997-09-23 1999-04-12 On-Line Technologies, Inc. Method and apparatus for fault detection and control
KR100257903B1 (ko) 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
JP3296292B2 (ja) 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
US6346428B1 (en) 1998-08-17 2002-02-12 Tegal Corporation Method and apparatus for minimizing semiconductor wafer arcing during semiconductor wafer processing
US6286362B1 (en) 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
TW440907B (en) 2000-03-02 2001-06-16 United Microelectronics Corp Plasma arcing sensor
TW505939B (en) 2000-03-28 2002-10-11 Kumamoto Technopolis Foundatio Apparatus for detecting plasma anomalous discharge and method of detecting the same
JP2001313280A (ja) * 2000-04-02 2001-11-09 Axcelis Technologies Inc ポスト・エッチ・フォトレジストおよび残留物の除去法
US6603538B1 (en) 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6815362B1 (en) 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
JP3541359B2 (ja) 2001-09-19 2004-07-07 独立行政法人 科学技術振興機構 超音波プローブの一部を内蔵した基板載置台及び超音波プローブ貫通孔の密閉装置
US7092077B2 (en) 2001-09-24 2006-08-15 Entegris, Inc. System and method for monitoring contamination
US6977184B1 (en) 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP4074079B2 (ja) * 2001-11-01 2008-04-09 Necエレクトロニクス株式会社 プラズマ処理装置およびプラズマ処理方法
US6741092B2 (en) 2001-12-28 2004-05-25 Formfactor, Inc. Method and system for detecting an arc condition
US6703250B2 (en) 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6736944B2 (en) 2002-04-12 2004-05-18 Schneider Automation Inc. Apparatus and method for arc detection
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US7006205B2 (en) 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
US6894474B2 (en) 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US7247252B2 (en) 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
JP2006507662A (ja) 2002-06-28 2006-03-02 東京エレクトロン株式会社 プラズマ処理システム内のアーク抑制方法およびシステム
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040031699A1 (en) * 2002-08-19 2004-02-19 Applied Materials, Inc. Method for performing real time arcing detection
JP2006501651A (ja) 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
US7026174B2 (en) 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
US6927076B2 (en) 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US6759342B2 (en) 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6787484B2 (en) 2002-12-17 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd Method of reducing visible light induced arcing in a semiconductor wafer manufacturing process
KR100488545B1 (ko) * 2003-07-23 2005-05-11 삼성전자주식회사 반도체 제조설비의 잔류개스 분석장치
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7064812B2 (en) 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
JP4448335B2 (ja) 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP4754419B2 (ja) * 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103834927A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法
CN103834927B (zh) * 2014-03-17 2016-08-17 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法

Also Published As

Publication number Publication date
KR20110021768A (ko) 2011-03-04
WO2009139828A2 (en) 2009-11-19
JP5536041B2 (ja) 2014-07-02
JP2011523774A (ja) 2011-08-18
WO2009139828A3 (en) 2010-01-21
KR101570552B1 (ko) 2015-11-19
US20090280581A1 (en) 2009-11-12
US8158017B2 (en) 2012-04-17
TW201001582A (en) 2010-01-01
CN102027576B (zh) 2013-02-27
TW201712776A (en) 2017-04-01
US20120175060A1 (en) 2012-07-12
TWI591742B (zh) 2017-07-11

Similar Documents

Publication Publication Date Title
CN102027576B (zh) 通过痕量气体浓度的监测来检测晶圆等离子处理中的电弧事件
CN107424898B (zh) 等离子体处理装置的清洁方法
US6492186B1 (en) Method for detecting an endpoint for an oxygen free plasma process
Goto et al. Dual excitation reactive ion etcher for low energy plasma processing
TWI808080B (zh) 蝕刻基板的方法、基板處理室以及光學放射頻譜儀組件
TWI409866B (zh) 自低k介電材料移除光阻及後蝕刻殘留物之氣體混合物及其使用方法
Posseme et al. Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO 2 in fluorocarbon based plasmas
WO2006012022A2 (en) Methods and apparatus for determining endpoint in a plasma processing system
Hayashi et al. Characterization of highly selective SiO2/Si3N4 etching of high-aspect-ratio holes
KR100690144B1 (ko) 플라즈마를 이용한 가스분석장치
CN1871554A (zh) 用于从衬底去除光刻胶的方法和设备
US7758763B2 (en) Plasma for resist removal and facet control of underlying features
JP3563214B2 (ja) プラズマエッチング方法
JP2007036139A (ja) プラズマ処理装置およびプラズマクリーニング終点検出方法
TW508693B (en) Plasma treating apparatus and plasma treating method
US6930049B2 (en) Endpoint control for small open area by RF source parameter Vdc
Bell et al. Radiation damage to thermal silicon dioxide films in radio frequency and microwave downstream photoresist stripping systems
Shimmura et al. Electrical conductivity of sidewall-deposited fluorocarbon polymer in SiO 2 etching processes
Hong et al. Etch Characteristics of Low-K Materials Using CF3I/C4F8/Ar/O2 Inductively Coupled Plasmas
Miyawaki et al. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma
Kim et al. Study of ashing for low-k dielectrics using the N2/O2 ferrite-core inductively coupled plasmas
Bai An experimental study and modeling of transformer-coupled toroidal plasma processing of materials
Kim et al. Oxide via etching in a magnetically enhanced CHF3/CF4/Ar plasma
Yang et al. Hydrogen Plasma Characteristics for Photoresist Stripping Process in a Cylindrical Inductively Coupled Plasma
Kwon et al. HYDROGEN INDUCED POLARIZATION DEGRADATION OF SrBi₂Ta₂O, THIN FILM CAPACITORS IN PLASMA ETCHING

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant