TWI828612B - 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備 - Google Patents

用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備 Download PDF

Info

Publication number
TWI828612B
TWI828612B TW106140048A TW106140048A TWI828612B TW I828612 B TWI828612 B TW I828612B TW 106140048 A TW106140048 A TW 106140048A TW 106140048 A TW106140048 A TW 106140048A TW I828612 B TWI828612 B TW I828612B
Authority
TW
Taiwan
Prior art keywords
chemical species
plasma processing
light emission
emission signal
processing system
Prior art date
Application number
TW106140048A
Other languages
English (en)
Other versions
TW201833980A (zh
Inventor
湯瑪斯 歐姆斯泰德
陳科宏
迪帕克 維大卡蘭姆
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201833980A publication Critical patent/TW201833980A/zh
Application granted granted Critical
Publication of TWI828612B publication Critical patent/TWI828612B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/71Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited
    • G01N21/73Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited using plasma burners or torches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/443Emission spectrometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本文描述用於自晶圓製造製程期間收集的光譜數據偵測及分析異常事件(即,電弧放電事件)的結構、平台、及方法。

Description

用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備
本發明係關於偵測及分析自晶圓製造製程期間收集的光譜數據之光學放射光譜術,且尤其有關用於自晶圓製造製程期間收集的光譜數據偵測及分析異常事件(即,電弧放電事件)的結構、平台、及方法。
[相關申請案的交互參照]
本申請案係依據及主張於西元2016年11月18日申請之美國臨時專利申請案第62/424,153號的優先權,該美國臨時專利申請案的標題為“COMPOSITIONAL OPTICAL EMISSION SPECTROSCOPY FOR THE CHARACTERIZATION OF AN ETCH PROCESS”(參考編號:TEA-138US1-PRO)。
包含蝕刻製程的電漿製造過程可能經歷影響晶圓產率、生產率、可靠性、及成本的諸多問題。此等問題包含在電漿腔室中的電弧放電(arcing),其中電弧放電可為微粒誘發的。診斷此等問題可能是非常困難的。尤其,此等問題的診斷可能涉及將電漿或處理腔室對大氣開啟。一旦腔室對大氣開放,則將腔室抽氣、沖洗、以許多晶圓陳化處理,且在生產晶圓上重新修飾蝕刻製程。此循環就工具利用及製造生產率而言可能非常昂貴。
揭示一種在電漿處理系統中偵測異常事件的方法,包含:在電漿處理腔室中點燃電漿;自電漿處理系統中的電漿偵測光譜解析光發射訊號,該光譜解析光發射訊號包含來自異常事件的光發射;處理光譜解析光發射訊號;及偵測來自處理的光譜解析光發射訊號之異常事件的特徵。
100:電漿處理系統
102:電漿處理腔室
104:接地端
106:處理空間PS
108:基座
110:基板W(晶圓)
112:裝載/卸載埠
114:閘閥
116:下電極(下電極組件)
118:基座支座
120:絕緣板
122:靜電卡盤
124:電極
126:DC電源
130:高頻電源
132:匹配單元
134:聚焦環
136:內壁構件
138:冷卻劑流徑
140:氣體供應管線
142:排氣路徑
144:排氣埠
146:氣體排出單元
148:氣體排出管線
150:上電極
152:內部上電極
154:外部上電極
156:電極板
158:氣體注入開口
160:電極支座
162:緩衝腔室
164:處理氣體供應系統
166:氣體供應管線
168:介電體
170:絕緣體
172:高頻電源
174:功率饋送器
176:上功率饋送桿
178:匹配單元
180:接地導體
182:絕緣構件
184:下功率饋送桿
186:可變冷凝器
188:低通濾波器(LPF)
190:高通濾波器(HPF)
192:控制單元
194:儲存單元
196:使用者介面
198:光譜儀
199:窗
200:光量
202:監控系統
204:光學發射光譜系統
206:雷射誘導螢光系統
208:雷射干涉儀
210:質譜儀
212:傅立葉轉換紅外線(FTIR)系統
300:表
302:化學物種
302-2:化學物種
302-40:矽
304:波長
304-2:波長
400:異常事件成分
402:微粒
404:微粒
406:電弧放電事件
408:光譜數據
410:特定時刻瞬間
412:波長
500:光譜數據
504:波長
506:閾值
600:製程
602:方塊
604:方塊
606:方塊
700:製程
702:方塊
704:方塊
706:方塊
708:方塊
實施方式係參照隨附圖式說明。在圖式中,參考號碼最左邊的數字標示該參考號碼首次出現的圖式。相同的號碼係在全部圖式中用以指示相似的特徵及元件。
圖1係顯示如根據此處實施例描述之電容耦合電漿(CCP)處理系統之示例性示意配置的橫剖面圖。
圖2係如根據此處實施例描述之實現光譜及電漿監控之示例性電漿處理系統的示例性示意方塊圖。
圖3係針對如本文所述之晶圓製造製程中使用的不同化學物種之預先識別之化學-印記識別表的示例說明。
圖4係來自如本文所述光譜數據之異常事件成分之事後評估的示例說明。
圖5係可用以分析如本文所述之異常事件來源的示例光譜數據。
圖6顯示用於建立化學-印記識別的示例製程,用於在電漿處理系統中之晶圓製造製程期間識別不同的化學物種。
圖7顯示在電漿處理系統中在晶圓製造製程期間用於監控及偵測異常事件的示例製程。
本文描述用於自晶圓製造製程期間收集的光譜數據偵測及分析異常事件(即,電弧放電事件)的結構、平台、及方法。舉例而言,光譜儀係在晶圓製造製程期間用以收集來自電漿腔室的光譜數據。在偵測異常事件的發生之後,可實施所收集之光譜數據的事後分析以判定可能引起異常事件或電弧放電事件的化學物種。
在一實施例中,化學物種的判定可藉由起初針對晶圓製造製程中各製程步驟使用的各化學物種建立不同的光譜特性(即,化學-印記識別)而實施。舉例而言,矽或含矽材料可使用含鹵化學品執行。可偵測的光學發射光譜(OES)物種可包含矽的鹵化物、及鹵素物種本身(例如Cl、F、Br)。此外,例如矽氧化物的蝕刻可使用諸如氟碳化物或氫氟碳化物氣體的含氟化學品執行。可偵測的物種可包含矽的鹵化物、及藉由氟碳化物或氫氟碳化物氣體的分 解而釋放的鹵素物種(F)。其他可偵測的副產物可包含一氧化碳(CO)及二氧化碳(CO2),其藉由來自膜或氣體混合物的氧(O)與來自氟碳化物或氫氟碳化物氣體的碳(C)反應而形成。
為了光譜數據的事後分析,可實施異常事件(之發生)之特定時刻瞬間的手動判定。舉例而言,在晶圓製造製程期間電弧放電事件的發生之視覺觀察可促進數據獲得循環、及當電弧放電事件發生時目前正執行的特定製程步驟中特定時刻瞬間(即參考點)的手動觀察。在此示例中,光譜數據的分析及特別是異常事件的分析可基於在特定時刻瞬間及/或在該特定時刻瞬間中之相應製程步驟中獲得的光譜。也就是說,對於在該特定時刻瞬間期間具有實質高強度(即高於閾值)的化學物種而言,如上所述之儲存的光譜特徵(即,化學-印記識別)可用以識別問題起因的化學物種。
在另一實施例中,關於光譜數據的事後分析,安裝在電漿腔室中的光電二極體可用以偵測電弧放電事件的發生,且此偵測可觸發來自光譜數據之異常事件的分析。舉例而言,光電二極體偵測諸如在晶圓製造製程中特定製程步驟期間的特定時間「t」時之光強度的實質尖波之電弧放電事件。類似於以上關於特定時刻瞬間之手動觀察的討論,光譜數據的事後分析可聚焦在特定時間「t」及/或在特定時間「t」之相應製程步驟期間獲得的光譜,該特定時間「t」可包含在數據獲得循環的特定時間「t」之前及/或之後幾個微秒。
如本文所述,光譜數據可包含來自電漿腔室的光譜解析光發射訊號。藉由光譜解析之光發射訊號可指示先前預先識別、儲存、及用於異常事件分析中參考品的光發射訊號,以識別引起電弧放電事件的化學物種。類似地,光譜數據可包含非光譜解析光發射訊號,其可包含進一步增強或增加電弧放電 事件之所產生的粒子。在此情況下,光譜儀可用以基於在一波長範圍之非光譜解析光發射訊號的不同光強度而判定該非光譜解析光發射訊號的光譜特性。
圖1根據本文實施例顯示電容耦合電漿(CCP)處理設備或電漿處理系統100之示例的示意橫剖面圖。吾人應理解可實施其他處理系統,諸如可實施輻射線型槽孔天線(RLSA)及感應耦合電漿(ICP)處理系統。在特定的實施方式中,電漿處理系統100係用於可實施包含光譜解析光發射訊號之光譜數據的分析之晶圓製造製程。藉由光譜解析之光發射訊號意指藉由不同的化學-印記識別而預先識別的化學物種(例如:碳(C)、二氧化碳(CO2)、矽(Si)等)之光發射訊號。這些化學物種的其中至少一者可能在晶圓製造製程期間造成異常事件(即,電弧放電事件),且就此方面,異常事件的分析可例如幫助識別待控制的化學物種及/或待修正的製程步驟。
電漿處理系統100可用於多個操作,包含灰化、蝕刻、沉積、清潔、電漿聚合、電漿加強化學氣相沉積(PECVD)、電漿加強原子層沉積(PEALD)等。電漿處理可在電漿處理腔室102內執行,該電漿處理腔室102可為由諸如鋁或不鏽鋼的金屬製成的真空腔室。電漿處理腔室102係接地至諸如接地端104。電漿處理腔室102定義提供用於產生電漿之處理空間PS 106的處理容器。電漿處理腔室102的內壁可塗佈氧化鋁、氧化釔、或其他保護劑。電漿處理腔室102的形狀可為圓柱形或具有其他幾何配置。
在電漿處理腔室102內的下部中心區域處,基板支架或基座108(其可為圓盤狀)可作為安裝臺,舉例而言,待處理的基板W 110(諸如半導體晶圓)可安裝在該安裝臺上。基板W 110可通過裝載/卸載埠112及閘閥114移進電漿處理腔室102。基座108形成下電極116(下電極組件)的一部分,作為用於 安裝基板W 110於其上之安裝臺之第二電極的示例。具體而言,基座108係支撐在基座支座118上,該基座支座118係經由絕緣板120設置在電漿處理腔室102之底部的實質中心處。基座支座118可為圓柱形。基座108可由例如鋁合金形成。基座108上係設有用於固持基板W 110的靜電卡盤122(作為下電極組件116的一部分)。靜電卡盤122係設有電極124。電極124係電連接至DC電源126(直流電源)。靜電卡盤122藉由靜電力將基板W 110向其吸附,該靜電力係當來自DC電源126的DC電壓施加至電極124時產生。
基座108可經由匹配單元132與高頻電源130電連接。此高頻電源130(第二電源)可輸出例如從2MHz至20MHz範圍內的高頻電壓。施加高頻偏壓功率導致電漿處理腔室102中產生之電漿中的離子被吸引至基板W 110。聚焦環134係設置於基座108的上表面上以圍繞靜電卡盤122。此外,可將RF或微波功率(未顯示)提供至電漿處理腔室102。供應至電漿處理腔室的RF或微波功率、RF或微波功率脈衝頻率、RF或微波脈衝工作週期、及供應至電漿處理腔室102中的基板支架或基座108的RF功率可為可最佳化的參數以當實施光譜數據的事後分析時控制異常事件。如下進一步討論,藉由事後分析手段,光譜數據、且尤其是異常事件係在發生的特定時刻瞬間受到分析。
內壁構件136(其可為圓柱形且由例如石英形成)係附接至靜電卡盤122及基座支座118的外周邊側。基座支座118包含冷卻劑流徑138。冷卻劑流徑138與安裝在電漿處理腔室102外側的冷卻器單元(未顯示)連通。冷卻劑流徑138係供應經由相應之管線循環的冷卻劑(冷卻液體或冷卻水)。因此,安裝在基座108上/上方之基板W 110的溫度可準確地加以控制。穿過基座108與基座支座118的氣體供應管線140,係配置成將熱轉移氣體供應至靜電卡盤122的上 表面。諸如氦(He)的熱轉移氣體(亦稱為背側氣體)可經由氣體供應管線140在基板W 110與靜電卡盤122之間供應,以協助加熱基板W 110。
排氣路徑142可沿內壁構件136的外緣及電漿處理腔室102的內壁表面形成。排氣埠144(或多個排氣埠)係設置在排氣路徑142的底部中。氣體排出單元146係經由氣體排出管線148連接至每一排氣埠。氣體排出單元146可包含諸如渦輪分子泵的真空泵,該真空泵係配置成將電漿處理腔室102內的電漿處理空間減壓至期望的真空狀態。氣體排出單元146排空電漿處理腔室102的內側,從而將其內部壓力降壓至期望程度的真空。
上電極150(亦即上電極組件)係第一電極的示例,且該第一電極係設置在下電極116的垂直上方設置,以平行地面向下電極116。電漿產生空間或處理空間PS 106係界定在下電極116與上電極150之間。上電極150包含具有圓盤狀的內部上電極152,而外部上電極154可為環形且圍繞該內部上電極152的周緣。內部上電極152亦作用為處理氣體入口,用於將特定量的處理氣體注入位於安裝在下電極116上之基板W 110上方的處理空間PS 106。
更具體而言,內部上電極152包含具有氣體注入開口158的電極板156(其通常為圓形)。內部上電極152亦包含可拆卸地支撐電極板156之上側的電極支座160。電極支座160可形成為圓盤的形狀,該圓盤具有與電極板156實質相同的直徑(當電極板156的形狀係體現為圓形時)。在替代的實施例中,電極板156可為正方形、矩形、多邊形等。電極板156可由導體或半導體材料形成,諸如:Si、SiC、摻雜的Si、鋁等。電極板156可與上電極150合為一體、或由電極支座160可拆卸地支撐,以便在表面腐蝕之後替換一給定板件。上電極150亦可包含冷卻板或冷卻機構(未顯示),以控制電極板156的溫度。
電極支座160可由例如鋁形成,且可包含緩衝腔室162。緩衝腔室162係用於擴散處理氣體,且可定義一圓盤狀空間。來自處理氣體供應系統164的處理氣體將氣體供應至上電極150。處理氣體供應系統164可配置成供應處理氣體,用於在基板W 110上執行諸如薄膜形成、蝕刻等的特定製程。處理氣體供應系統164係與氣體供應管線166連接,形成處理氣體供應路徑。氣體供應管線166係連接至內部上電極152的緩衝腔室162。處理氣體可接著從緩衝腔室162移至在其下表面處的氣體注入開口158。引進緩衝腔室162之處理氣體的流率可藉由例如使用質流控制器調整。此外,引進的處理氣體係從電極板156(噴淋頭電極)的氣體注入開口158均勻地排出至處理空間PS 106。內部上電極152因而部分用作為噴淋頭電極組件。
具有環形形狀的介電體168可介設在內部上電極152與外部上電極154之間。絕緣體170(其可為具有環形形狀且由例如氧化鋁形成的屏蔽構件)係以氣密方式介設在外部上電極154與電漿處理腔室102的內周壁之間。
外部上電極154係經由功率饋送器174、上功率饋送桿176、及匹配單元178而與高頻電源172(第一高頻電源)電連接。高頻電源172可輸出具有13MHz(百萬赫)或更高(例如60MHz)之頻率的高頻電壓、或可輸出具有30-300MHz之頻率的特高頻(VHF)電壓。此電源172與偏壓電源相比可稱為主電源。功率饋送器174可形成為例如具有開放式下表面的實質圓柱狀。功率饋送器174可在其下端部連接至外部上電極154。功率饋送器174係在其上表面的中心部分與上功率饋送桿176的下端部電連接。上功率饋送桿176係在其上端部連接至匹配單元178的輸出側。匹配單元178係連接至高頻電源172,且可匹配負載阻抗及 高頻電源172的內部阻抗。然而,應注意外部上電極154係可選的,且實施例可使用單一上電極加以運作。
功率饋送器174可為圓柱狀而具有側壁,側壁直徑係與電漿處理腔室102的直徑實質相同。接地導體180在其下端部係連接至電漿處理腔室102之側壁的上部部分。上功率饋送桿176穿過接地導體180之上表面的中心部分。絕緣構件182係介設在接地導體180與上功率饋送桿176之間的接觸部分。
電極支座160係在其上表面上與下功率饋送桿184電連接。下功率饋送桿184係經由連接器連接至上功率饋送桿176。上功率饋送桿176及下功率饋送桿184形成功率饋送桿,用於將來自高頻電源172的高頻電功率供應至上電極150。可變冷凝器186係設置在下功率饋送桿184中。藉由調整可變冷凝器186的電容,當高頻電功率係從高頻電源172施加時,在外部上電極154正下方形成之電場強度對在內部上電極152正下方形成之電場強度的相對比例可加以調整。上電極150的內部上電極152係與低通濾波器(LPF)188電連接。LPF 188阻隔來自高頻電源172的高頻率,且同時將來自高頻電源130的低頻率傳遞至接地。形成下電極116之一部分之系統的下部(基座108)係與高通濾波器(HPF)190電連接。HPF 190將來自高頻電源172的高頻傳遞至接地。
自約3MHz至150MHz範圍內的高頻電功率係自高頻電源172施加至上電極150。此導致高頻電場在上電極150與基座108或下電極116之間產生。遞送至處理空間PS 106的處理氣體可接著解離並轉變成電漿。自約0.2MHz至20MHz範圍內的低頻電功率可自高頻電源130施加至形成下電極116的基座108。換言之,可使用雙頻系統。因此,電漿中的離子被吸引向基座108,從而藉由離子輔助提高蝕刻的非等向性。應注意為方便起見,圖1顯示將功率供應至 上電極150的高頻電源172。在替代的實施例中,可將高頻電源172供應至下電極116。因此,主功率(激發功率)及偏壓功率(離子加速功率)二者皆可被供應至下電極。
電漿處理系統100的元件可連接至控制單元192且由控制單元192控制,該控制單元192又可連接至對應的儲存單元194及使用者介面196。各種電漿處理操作可藉由使用者介面196執行,且各種電漿處理配方及操作可儲存在儲存單元194中。因此,一給定基板可在電漿處理腔室內使用各種微製程技術處理。在操作中,電漿處理設備使用上電極和下電極,以在處理空間PS 106中產生電漿。此產生的電漿可接著用於以各種類型的處理處理一目標基板(諸如基板W 110或待處理的任何材料),該各種類型的處理諸如電漿蝕刻、化學汽相沉積、玻璃材料的處理、及大面板(諸如薄膜太陽能電池、其他光伏打電池、及用於平板顯示器之有機/無機板件等)的處理。
控制單元192可包含一或更多處理器、微電腦、計算單元等。儲存單元194可包含記憶體,且係用於儲存由控制單元192執行之指令的非暫態電腦可讀儲存媒體之示例,該等指令係用以執行本文描述的諸多功能。舉例而言,儲存單元194通常可包含揮發性記憶體及非揮發性記憶體(例如RAM、ROM等)。記憶體在本文可稱作為記憶體或電腦可讀儲存媒體。記憶體係能夠將電腦可讀、處理器可執行的程式指令儲存成可由控制單元192執行的電腦程式碼,該控制單元192係作為配置用於執行本文實施方式中描述之操作及功能的特定機器。
記憶體可進一步儲存一或更多應用程式(未顯示)。應用程式可包含預先配置/安裝、及可下載的應用程式。此外,記憶體可儲存所使用之光譜 解析光發射訊號或光譜數據,其係受到分析以如本文所述產生化學物種的化學-印記識別。
電漿處理系統100可更包含光譜儀198及窗199。光譜儀198係用於收集包含來自電漿腔室的光譜解析光發射訊號的光譜數據。亦即,可收集不同的化學物種或複數物種作為來自電漿腔室的光譜解析光發射訊號,且這些化學物種的其中至少一者如本文所述可為異常事件的來源。光譜儀198可連接至控制單元192或其他控制器/系統。
除了光譜儀198之外,電漿處理系統100可更包含可分別安裝的光電二極體(未顯示)或任何光偵測器(未顯示)。舉例而言,光電二極體可用以偵測電弧放電事件的發生,因此,此偵測觸發光譜數據分析,以判定可能為電弧放電事件來源之化學物種的化學-印記識別。光電二極體可連接至控制單元192或其他控制器/系統。
圖2係實施光譜及電漿監控之示例電漿處理系統的示例示意方塊圖。在一實施例中,如下進一步討論,示例電漿處理系統可最初用以手動定義在晶圓製造製程期間用於各製程步驟之不同化學物種的光譜特性。之後,示例電漿處理系統可用以在實際晶圓製造製程期間收集光譜數據及促進電弧放電事件之事件偵測。所收集的光譜數據之事後分析可基於所觀察之電弧放電事件的發生時間及/或聚焦在對應於所觀察的事件時間之製程步驟中使用的化學物種。
如圖所示,監控系統202可為光譜儀198的一部分,該光譜儀198係電漿處理系統100的元件。監控系統202可在晶圓製造製程中各製程步驟之各化學物種之光譜特性的初始預先識別期間使用。之後,監控系統202可用以在數 據獲得循環期間收集光譜以產生用於如本文所述之異常事件之事後分析的光譜數據。
監控系統202的元件可包含但不限於光學發射光譜系統204、雷射誘導螢光系統206、雷射干涉儀208、質譜儀210、及傅立葉轉換紅外線(FTIR)系統212。
在一實施例中,光學發射光譜系統204可用以藉由分析來自光量(light volume)200的光發射訊號而獲得光譜數據。舉例而言,關於在晶圓製造製程中各製程步驟之各化學物種之光譜特性的初始預先識別,光學發射光譜系統204可使用光量200以建立製程步驟中涉及之化學物種的不同光譜特性。在此示例中,光學發射光譜系統204可利用其他元件,諸如雷射誘導螢光系統206等。
舉例而言,光學發射光譜系統204利用雷射誘導螢光系統206,其當處理光量200時有助於一波長範圍之光譜的收集。此外,光學發射光譜系統204針對使用波干涉現象的測量方法使用雷射干涉儀208。又此外,光學發射光譜系統204在數據獲得循環期間利用質譜儀210測量光譜樣本內的質量。又此外,光學發射光譜系統204使用FTIR系統212以在晶圓製造製程期間獲得光量200之氣體之吸收或發射的紅外線光譜。
在一實施例中,在晶圓製造製程中各製程步驟之各化學物種之光譜特性的手動預先識別之後,化學-印記識別可儲存在儲存單元194中以供未來關於電漿監控的參考。
在電漿監控期間,光學發射光譜系統204可用以在實際晶圓製造製程期間藉由類似地分析來自光量200的光發射訊號而獲得光譜數據。所獲得的光譜數據可包括光譜解析光發射訊號,該光譜解析光發射訊號可包含預先識別 且其光譜特性係儲存在儲存單元194之化學物種。當偵測異常事件的發生時,實施所獲得之光譜數據的事後分析以判定哪些化學物種可能引起異常事件。
圖3係針對如本文所述之晶圓製造製程中使用的不同化學物種之預先識別之化學-印記識別表300的示例說明。光譜儀198及特別是上述光學發射光譜系統204可促進晶圓製造製程上各製程步驟之基於手動或機器之化學物種302的預先識別。舉例而言,化學物種(例如化學物種302-2)的光強度可在特定波長(例如波長304-2)上分析。在此示例中,在特定波長304-2上化學物種302-2的光強度可促進其不同於其他化學物種302-4、302-6等之不同光譜特徵的建立。在另一示例中,可將光譜儀198鎖定在化學物種302-2的光強度上,且所鎖定的光強度係在一波長範圍上受到分析以建立其不同的光譜特性。
在電漿監控期間,對於各製程步驟,所有化學物種302不可能藉由光譜儀198為可見的。舉例而言,對於矽之氧化的製程步驟,矽(Si)302-40在乾式氧化期間在升高的溫度下與氧氣(O2)反應。氧氣可藉由內部上電極152饋入,該內部上電極152亦作用為處理氣體入口,用於將特定量的處理氣體注入至處理空間PS 106中。在此示例中,光譜儀198可偵測化學物種Si和O2,而來自其他化學物種302的光強度可能為不可見或幾乎不可見。
參照表300,不同波長304可對應於不同化學物種302的光強度。在上述示例製程步驟(即,矽的氧化)中,可使用光譜儀198以掃描波長213.9nm(即,波長304-2)至789.6nm(即,波長304-n),且光譜數據可產生化學物種Si及O2的光強度,而對應於其餘化學物種302的光強度可能為不可見或幾乎不可見。
圖4如本文所述係來自光譜數據之異常事件成分400之事後評估的示例說明。如圖所示,異常事件成分400包含微粒402及404、電弧放電事件406、光譜數據408、表示電弧放電事件406之發生時間的特定時刻瞬間410、光譜數據408的波長412、PS 106、晶圓110及化學物種302。
電弧放電事件406(其可為導致高暫態訊號之電壓及/或電流的突發尖波)通常可能自PS 106發生且可從PS 106觀察,該PS 106可藉由電極(即,陽極和陰極)之間的空間加以定義。舉例而言,當來自化學物種302的微粒402產生大於電漿崩潰電壓的電場時,電弧放電事件406可能自陰極至陽極一路產生。因此,可能產生額外的微粒404,且微粒404可能進一步增強或放大導致暫態訊號-電弧放電事件406之電壓及/或電流的突發尖波。
光譜數據408可包含在發生電弧放電事件406的特定瞬間(即,特定時刻瞬間410)期間的光譜。即,光譜數據408可包含來自PS 106藉由光譜儀198收集之光譜的目標部分或總光譜數據。如上所討論,特定時刻瞬間410可基於藉由電弧放電事件406之實際發生的手動及視覺觀察。對於示例異常事件成分400,手動觀察之電弧放電事件406的視覺事件可藉由特定時刻瞬間410-2至410-12表示。
在另一情況中,光電二極體可用以偵測電弧放電事件406的發生時間。舉例而言,藉由光電二極體的偵測可利用閾值,且該偵測可觸發關於光譜數據如何被分析(即事後分析)的參考點。在上面的示例異常事件成分400中,參考點可由特定時刻瞬間410-2至410-12表示。在此情況下,光譜數據的分析可聚焦於在這些特定時刻瞬間410-2至410-12期間獲得的光譜。
如圖所示,電弧放電事件406始於大約57s時(即,特定時刻瞬間410-2),且峰值在光譜數據408的大約61.7秒(即,特定時刻瞬間410-12)時。
返回參照圖3,光譜儀198藉由掃描波長304-2(即,213.9nm)至304-n(即,789.6nm)收集光譜數據408。對於特定時刻瞬間410-2至410-12,針對光譜數據408的波長412(即,490nm至520nm)可觀察到幾個化學物種302。因此,波長412(即,490nm至520nm)的光強度係關於其不同的化學-印記識別加以識別。
舉例而言,如表300所示,波長412(即,490nm至520nm)可能包含化學物種H(氫)、He(氦)、O(氧)、C2(兩個碳的烯)及CO(碳氧化物)。關於光譜數據408,對於特定時刻瞬間410-12的化學物種可以為H、He、O、C2、及/或CO。其餘化學物種在使用波長412的情況下可能為不可見。
在一實施例中,電弧放電事件406(即,異常事件)可基於至少一或更多化學物種的光譜特徵加以分類。此外,電弧放電事件的來源或表面係分別就其在電漿處理腔室中何處發展加以判定及識別。換句話說,電弧放電事件406是否已影響電極之鋁支座、電漿處理腔室的氧化鋁內壁、晶圓110、電極板156的導體或半導體材料等的表面。在此情況下,亦可實施識別與所識別的光譜特徵相關聯之至少一化學物種已發展至電漿處理腔室中的位置。
在另一實施例中,可測量電漿電特性(即,電弧放電事件406的電特性),且此測量可與異常事件期間的電漿電參數的行為相關聯。該電漿電參數可包含RF功率、基板支架RF功率、及電漿電位的參數。
在另一實施例中,諸如光電二極體的專用電弧偵測器控制器可用以處理來自PS 106之所收集的光譜之光譜解析光發射訊號。在此實施例中,電弧 偵測器控制器可配置成使用所獲得的光譜解析光發射訊號及所獲得的非光譜解析光發射訊號(即,可能無法藉由其不同的光譜特徵而識別的化學物種)兩者偵測電弧放電事件406。光譜解析光發射訊號的處理可在電漿端點偵測器控制器中執行。
圖5係可用以分析如本文所述之異常事件來源的示例光譜數據500。
在藉由視覺觀察或藉由使用光電二極體偵測器偵測異常事件的發生之後,可將諸如光譜數據500之光譜數據的部分加以分析以判定可能已產生異常事件的化學物種。
如圖所示,光譜數據500包含沿水平x軸定義測量值的波長504、定義用於垂直y軸之測量值的發射訊號強度、閾值506、及特定時刻瞬間410-2至410-12。此外,光譜數據500顯示類似於由圖4之電弧放電事件406產生的光譜數據之光譜數據408。又此外,光譜數據500顯示閾值506,其可用以判定可能存在或可能為異常事件來源的化學物種。
在一實施例中,閾值506可包含可用以判定電弧放電事件406之存在之預先配置的值。如圖所示,特定時刻瞬間410-10(即,59秒)及410-12(即,61.7秒)可能是關於電弧放電事件406之來源之光譜數據分析的焦點。原因在於特定時刻瞬間410-10及410-12係高於閾值506。
可判定對應於特定時刻瞬間410-10及410-12(即,其係高於閾值506)的波長504以包含如圖所示的波長515-518nm。
返回參照圖3,波長515-518nm可對應於包含化學物種氦(He)及碳氧化物。因此,可判定異常事件已至少由化學物種He及CO產生。
圖6顯示用於建立化學-印記識別的示例製程600,用於在電漿處理系統中之晶圓製造製程期間識別不同的化學物種。不同化學物種的預先識別可針對可在晶圓製造製程期間之特定製程步驟中使用的各化學物種手動地執行。該方法所描述的順序並非意圖被解釋為一種限制,且任何數目之所描述方法的方塊可以任何順序結合以實施該方法或替代的方法。此外,個別的方塊可從該方法移除而不背離本文描述之申請標的之精神及範圍。此外,該方法可以任何合適的硬體、軟體、韌體、或其組合實施而不背離本發明的範圍。
在方塊602,執行識別化學物種,該化學物種係在晶圓製造製程的製程步驟中使用。在晶圓上有多個執行的製程步驟,從初始氧化至電性測試。舉例而言,初始氧化可在熱氧化期間使用分子氧作為氧化劑。在此例子中,分子氧可被識別成在此初始氧化製程步驟中使用的化學物種之一。
在方塊604,執行定義所識別之化學物種的光譜特性。舉例而言,對於分子氧化學物種,光譜儀198可用以偵測及獲得特定波長或一範圍波長之分子氧的不同光強度特性。在此例子中,特定波長或一範圍波長之分子氧的不同光強度特性可定義其化學-印記特徵。
參照如上面圖4討論之用於不同化學物種之預先識別的化學-印記識別表300,光譜儀198的各波長304設定可配置成接收各化學物種302的相應光強度訊號。也就是說,光譜儀198可配置成從波長213.9nm(即波長304-2)至789.6nm(即波長304-n)針對各波長測量各化學物種。
在方塊606,執行儲存所識別的化學物種之定義的光譜特性。舉例而言,各識別的化學物種之化學-印記特徵(即定義的光譜特徵)可儲存在 儲存單元194中。在此示例中,所儲存的化學-印記特徵可在光譜數據的事後分析期間用作識別光譜解析光發射訊號的參考。
圖7顯示在電漿處理系統中在晶圓製造製程期間用於監控及偵測異常事件的示例製程700。在一實施例中,光譜數據的分析係在電弧放電事件的發生之後實施。該方法所描述的順序並非意圖被解釋為限制,且任何數目之所描述方法的方塊可以任何順序結合以實施該方法或替代的方法。此外,個別的方塊可從該方法移除而不背離本文描述之申請標的之精神及範圍。此外,該方法可以任何合適的硬體、軟體、韌體、或其組合實施而不背離本發明的範圍。
在方塊702,在晶圓製造製程期間在電漿處理腔室中執行點燃電漿。舉例而言,點燃可能涉及在晶圓製造製程中的反應性離子蝕刻、濺射、電漿加強化學氣相沉積等期間電漿的人為製造。參照上面圖1,點燃的電漿可引入在下電極116與上電極150間的電漿產生空間PS 106中。內部上電極152可作用為處理氣體入口,用於將特定量的處理氣體(如目前製程步驟所要需)注入位在基板W 110上方且安裝在下電極116上的PS 106。
在方塊704,執行偵測及獲得光譜解析光發射訊號。舉例而言,光譜儀198收集如上面圖2所示的光量200。光量200可自在基板W 110上方的PS 106取得。在此示例中,光量200可包含光譜解析光發射訊號及亦來自電漿處理腔室的其他光發射或光譜。其他光發射或光譜可被視為通過光譜儀198識別的非光譜解析光發射訊號。
在方塊706,執行處理光譜解析光發射訊號。舉例而言,光譜儀198可將收集的光譜數據轉發至控制單元192,該控制單元192可包含用於處理光譜數據的一或更多處理器、微電腦、計算單元等。在此示例中,處理可涉及高 於閾值506之光強度訊號的識別。對於高於閾值506的光強度訊號,相應的波長304可藉由控制單元192使用以在儲存單元194處使用儲存的化學-印記特徵識別化學物種。
在方塊708處,執行判定來自處理的光譜解析光發射訊號之異常事件的特徵。舉例而言,在異常事件(即電弧放電事件)的發生之後,分析光譜數據以判定可能產生電弧放電事件或電漿不穩定性之化學物種的化學-印記特徵。在此例子中,可實施用於光譜數據之分析的手動參考點。
舉例而言,視覺上觀察到異常事件已發生在數據獲得循環的特定時刻瞬間410-2至410-12(即參考點)。在此例子中,光譜數據的分析且特別是化學-印記識別的判定可基於在特定時刻瞬間410-2至410-12內獲得的光譜。通常,具有高於閾值506之光強度的化學物種可被視為異常事件的來源。
在另一實施例中,光電二極體可偵測異常事件的發生,且此偵測可觸發類似於上述手動參照之實施方式之光譜數據的分析。也就是說,參考點係基於光電二極體偵測異常事件之發生的時間。可接著分析在參考點之前及/或之後幾各微秒內獲得的光譜數據,以判定造成電弧放電事件之化學物種的化學-印記特徵。
利用所分析的異常事件,可實現用於錯誤偵測之異常事件的記錄及/或通知電漿處理系統操作者。此外,可進一步實施設定控制器單元以防止在所識別之與異常事件相關聯的條件下之電漿處理系統的操作。
700:製程
702:方塊
704:方塊
706:方塊
708:方塊

Claims (20)

  1. 一種與電漿處理系統中之異常事件相關聯之化學物種的判定方法,包含:識別在該電漿處理系統中產生的複數可能化學物種的複數波長;在該電漿處理系統的一電漿處理腔室中點燃電漿;自該電漿處理腔室中的該電漿偵測非光譜解析光發射訊號及光譜解析光發射訊號,該非光譜解析光發射訊號及該光譜解析光發射訊號包含來自一異常事件的光發射;由該非光譜解析光發射訊號偵測該異常事件的時間;根據由該非光譜解析光發射訊號所偵測之該異常事件的該時間,選擇該光譜解析光發射訊號之第一部分;處理該光譜解析光發射訊號之該第一部分,以識別該光譜解析光發射訊號之該第一部分的波長範圍;及將該等可能化學物種在所識別的該波長範圍內的該等波長加以分類以判定與該異常事件相關聯之化學物種。
  2. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該異常事件包含電弧放電事件或電漿不穩定性。
  3. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中該處理該光譜解析光發射訊號之該第一部分以識別該光譜解析光發射訊號之該第一部分的波長範圍的步驟包含:處理該 光譜解析光發射訊號之該第一部分,以識別該光譜解析光發射訊號之該第一部分之第二部分的波長範圍,該光譜解析光發射訊號之該第一部分之該第二部分的強度係大於一閾值。
  4. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:自經處理的該光譜解析光發射訊號之該第一部分識別至少一化學物種的光譜特徵。
  5. 如申請專利範圍第4項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:基於該至少一化學物種之該所識別的光譜特徵將該異常事件分類。
  6. 如申請專利範圍第4項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:判定該異常事件期間與該所識別的光譜特徵相關聯之該至少一化學物種已從一來源發展至該電漿處理腔室中的該來源。
  7. 如申請專利範圍第4項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:判定該異常事件期間與該所識別的光譜特徵相關聯之該至少一化學物種已由一表面發展至該電漿處理腔室中的該表面。
  8. 如申請專利範圍第4項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:識別該異常事件期間與該所識別的光譜特徵相關聯之該至少一化學物種已由一位置發展至該電漿處理腔室中的該位置。
  9. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:測量電漿電參數;及將在該異常事件期間之該電漿電參數的行為與該異常事件相關聯。
  10. 如申請專利範圍第9項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該電漿電參數包含選自包含射頻(RF)功率、基板支架RF功率、及電漿電位之群組的參數。
  11. 如申請專利範圍第9項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,更包含:設定一控制器,以避免在與該異常事件相關聯所識別的條件下操作該電漿處理系統。
  12. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該光譜解析光發射訊號係使用一光譜儀偵測。
  13. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該處理該光譜解析光發射訊號之該第一部分的步驟係以一專用的電弧偵測器控制器執行。
  14. 如申請專利範圍第13項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該專用的電弧偵測器控制器係配置成自一高速非光譜解析電弧偵測器接收該非光譜解析光發射訊號。
  15. 如申請專利範圍第14項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該電弧偵測器控制器係配置成使用所偵測的該光譜解析光發射訊號及所偵測的該非光譜解析光發射訊號兩者偵測電弧放電事件。
  16. 如申請專利範圍第1項之與電漿處理系統中之異常事件相關聯之化學物種的判定方法,其中,該處理該光譜解析光發射訊號之該第一部分的步驟係以一電漿端點偵測器控制器執行。
  17. 一種用於判定與電漿處理系統中之異常事件相關聯之化學物種的設備,包含:一窗,設置在該電漿處理系統之一電漿處理腔室上,用於對該電漿處理腔室提供光學通路;一偵測器,用於偵測該電漿處理腔室內部的非光譜解析光發射訊號;一光譜儀,用於(i)預先識別在該電漿處理系統中產生的複數可能化學物種的複數波長、及(ii)藉由該窗偵測該電漿處理腔室內部的光譜解析光發射訊號;及 一控制器,配置成(i)由該非光譜解析光發射訊號偵測該異常事件的時間;(ii)根據由該非光譜解析光發射訊號所偵測之該異常事件的該時間,選擇該光譜解析光發射訊號之第一部分;(iii)處理該光譜解析光發射訊號之該第一部分,以識別該光譜解析光發射訊號之該第一部分的波長範圍;及(iv)將該等可能化學物種在所識別的該波長範圍內的預先識別的該等波長加以分類以判定與該異常事件相關聯之化學物種。
  18. 如申請專利範圍第17項之用於判定與電漿處理系統中之異常事件相關聯之化學物種的設備,更包括一儲存裝置,用於儲存光譜數據之事後分析中的參考用之該等可能化學物種的預先識別的該等波長及該光譜解析光發射訊號,以識別造成異常事件的化學物種。
  19. 如申請專利範圍第18項之用於判定與電漿處理系統中之異常事件相關聯之化學物種的設備,其中該偵測器包含一光電二極體偵測器,其係配置成偵測電弧放電事件的發生及該電弧放電事件之發生的特定時刻瞬間。
  20. 如申請專利範圍第17項之用於判定與電漿處理系統中之異常事件相關聯之化學物種的設備,其中,與該異常事件相關聯之該化學物種的判定係基於該化學物種的相應的化學-印記識別。
TW106140048A 2016-11-18 2017-11-20 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備 TWI828612B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662424153P 2016-11-18 2016-11-18
US62/424,153 2016-11-18

Publications (2)

Publication Number Publication Date
TW201833980A TW201833980A (zh) 2018-09-16
TWI828612B true TWI828612B (zh) 2024-01-11

Family

ID=62146779

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106140048A TWI828612B (zh) 2016-11-18 2017-11-20 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備

Country Status (5)

Country Link
US (1) US10436717B2 (zh)
JP (1) JP7112620B2 (zh)
KR (1) KR102520779B1 (zh)
TW (1) TWI828612B (zh)
WO (1) WO2018094219A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622197B2 (en) * 2015-07-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10900907B2 (en) * 2017-02-17 2021-01-26 Radom Corporation Portable plasma source for optical spectroscopy
US20180294197A1 (en) * 2017-04-06 2018-10-11 Lam Research Corporation System design for in-line particle and contamination metrology for showerhead and electrode parts
CN109490721A (zh) * 2018-09-11 2019-03-19 惠科股份有限公司 一种监控装置和监控方法
KR20220030439A (ko) * 2020-08-31 2022-03-11 삼성전자주식회사 반도체 장치 제조 공정의 모니터링 방법 및 이를 포함하는 반도체 장치의 제조 방법
US11885682B2 (en) 2021-04-13 2024-01-30 Verity Instruments, Inc. System, apparatus, and method for spectral filtering

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
WO2008100296A1 (en) * 2007-02-16 2008-08-21 Mks Instruments, Inc. Harmonic derived arc detector
TW201001582A (en) * 2008-05-12 2010-01-01 Lam Res Corp Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US20110215072A1 (en) * 2010-03-08 2011-09-08 Park Sangwuk Plasma apparatus having a controller for controlling a plasma chamber and methods for controlling the plasma apparatus
CN102788916A (zh) * 2011-05-11 2012-11-21 塞米西斯科株式会社 等离子体监测系统
US20130016344A1 (en) * 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
TW201533771A (zh) * 2014-02-25 2015-09-01 Applied Materials Inc 使用光學感測器的脈衝式電漿監測
US20160268108A1 (en) * 2009-08-17 2016-09-15 Verity Instruments, Inc. Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface of substrate

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (zh) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
JPH05226296A (ja) * 1992-02-10 1993-09-03 Fujitsu Ltd スパッタエッチング装置の異常放電監視方法
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
JPH08106992A (ja) * 1994-03-24 1996-04-23 Hitachi Ltd プラズマ処理方法およびその装置
JPH08232087A (ja) 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
US5648198A (en) 1994-12-13 1997-07-15 Kabushiki Kaisha Toshiba Resist hardening process having improved thermal stability
JPH09266097A (ja) * 1996-03-29 1997-10-07 Seiko Epson Corp プラズマ処理方法及びプラズマ処理装置
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
TW439143B (en) 1999-03-01 2001-06-07 United Microelectronics Corp Method to prevent first-wafer effect
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6238937B1 (en) 1999-09-08 2001-05-29 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra with thresholding
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
US20030005943A1 (en) 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
US20040235303A1 (en) 2001-05-04 2004-11-25 Lam Research Corporation Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US6703250B2 (en) 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US20040058359A1 (en) 2002-05-29 2004-03-25 Lin Mei Erbin as a negative regulator of Ras-Raf-Erk signaling
US6673200B1 (en) * 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
JP2006501620A (ja) 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムとともに光学系を使用するための装置及び方法
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US20060006139A1 (en) 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7959970B2 (en) 2004-03-31 2011-06-14 Tokyo Electron Limited System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US7312865B2 (en) 2004-03-31 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for in situ monitoring of chamber peeling
US20050241669A1 (en) 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
CN100587902C (zh) 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
US7964039B2 (en) 2007-09-07 2011-06-21 Imec Cleaning of plasma chamber walls using noble gas cleaning step
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
WO2011063407A2 (en) 2009-11-23 2011-05-26 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
KR20120004190A (ko) 2010-07-06 2012-01-12 삼성전자주식회사 반도체 제조장치의 세정방법
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
US9209950B2 (en) 2011-10-03 2015-12-08 Qualcomm Incorporated Antenna time offset in multiple-input-multiple-output and coordinated multipoint transmissions
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
CN103117202B (zh) 2013-02-19 2015-09-09 中微半导体设备(上海)有限公司 等离子体处理工艺的终点检测装置及方法
KR20160089515A (ko) 2013-12-05 2016-07-27 도쿄엘렉트론가부시키가이샤 직류 중첩 동결

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
WO2008100296A1 (en) * 2007-02-16 2008-08-21 Mks Instruments, Inc. Harmonic derived arc detector
TW201001582A (en) * 2008-05-12 2010-01-01 Lam Res Corp Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US20160268108A1 (en) * 2009-08-17 2016-09-15 Verity Instruments, Inc. Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface of substrate
US20110215072A1 (en) * 2010-03-08 2011-09-08 Park Sangwuk Plasma apparatus having a controller for controlling a plasma chamber and methods for controlling the plasma apparatus
CN102788916A (zh) * 2011-05-11 2012-11-21 塞米西斯科株式会社 等离子体监测系统
US20130016344A1 (en) * 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
TW201533771A (zh) * 2014-02-25 2015-09-01 Applied Materials Inc 使用光學感測器的脈衝式電漿監測

Also Published As

Publication number Publication date
JP7112620B2 (ja) 2022-08-04
KR102520779B1 (ko) 2023-04-11
WO2018094219A1 (en) 2018-05-24
TW201833980A (zh) 2018-09-16
US20180143141A1 (en) 2018-05-24
US10436717B2 (en) 2019-10-08
JP2020513647A (ja) 2020-05-14
KR20190075152A (ko) 2019-06-28

Similar Documents

Publication Publication Date Title
TWI828612B (zh) 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備
US11273469B2 (en) Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US20100224322A1 (en) Endpoint detection for a reactor chamber using a remote plasma chamber
KR101570552B1 (ko) 웨이퍼 플라즈마 처리에서 미량 가스 농도의 모니터링을 통한 아킹 이벤트의 검출
US5986747A (en) Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
JP5246836B2 (ja) プラズマ処理装置用のプロセス性能検査方法及び装置
WO2005098091A2 (en) A method of plasma etch endpoint detection using a v-i probe diagnostics
KR100690144B1 (ko) 플라즈마를 이용한 가스분석장치
KR100835379B1 (ko) 사중극자 질량 분석기를 이용한 챔버 상태 모니터링 방법
JP2001250812A (ja) プラズマ処理の終点検出方法及び終点検出装置
JP3959318B2 (ja) プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
JP2007115765A (ja) プラズマ処理装置
KR102090057B1 (ko) 반도체 공정 챔버 및 가스라인의 가스분석을 위한 tof ms 가스질량분석 모니터링 시스템
US11039527B2 (en) Air leak detection in plasma processing apparatus with separation grid