CN1199242A - 等离子体处理的方法及装置 - Google Patents

等离子体处理的方法及装置 Download PDF

Info

Publication number
CN1199242A
CN1199242A CN98109290A CN98109290A CN1199242A CN 1199242 A CN1199242 A CN 1199242A CN 98109290 A CN98109290 A CN 98109290A CN 98109290 A CN98109290 A CN 98109290A CN 1199242 A CN1199242 A CN 1199242A
Authority
CN
China
Prior art keywords
conductor
high frequency
frequency power
vacuum chamber
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98109290A
Other languages
English (en)
Other versions
CN1102801C (zh
Inventor
奥村智洋
中山一郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP07543697A external-priority patent/JP3736016B2/ja
Priority claimed from JP13211097A external-priority patent/JP3658922B2/ja
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Publication of CN1199242A publication Critical patent/CN1199242A/zh
Application granted granted Critical
Publication of CN1102801C publication Critical patent/CN1102801C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

等离子体处理方法包括:通过抽气真空室内部同时将气体输至真空室,控制真空室内部至规定压力;在真空室内部压力控制下,高频功率供给第一导体的一端,第一导体的另一端断开,成涡流型,第二导体的一端接地,另一端断开,成涡流型,从第一导体和第二导体辐射电磁波至真空室,在真空室产生等离子体,并处理位于真空室内电极上的基底。

Description

等离子体处理的方法及装置
本发明涉及用于半导体或其它电子器件制造的如干刻蚀、溅射、和等离子体CVD的等离子体处理方法和装置。特别地,本发明涉及使用低电子温度(low-electronic-temperature)等离子体的等离子体处理方法和装置。
为使如半导体的电子器件小型化,在未审查日本特许公开No.8-83696论述了使用高密度等离子体的重要性。同时,近年来,已注意到高电子缺欠和低电子温度(low-electron-temperature)的低电子温度(low-electron-temperature)等离子体。
当具有高负电性的气体如Cl2和SF6,即,易产生负离子的气体转变成等离子体,与较高电子温度情形相比,约3eV或更低的电子温度产生大量的负离子。利用该现象的优点能防止所谓凹槽的蚀刻结构异常,它是由于过量的进入的正离子在细微图像底部累积的正电荷引起的。因此,能高精密刻蚀特别细微的图像。
同时,当通常用于氧化硅膜和其它绝缘膜的蚀刻的含碳和氟的气体如CxHy和CxHyFz(这里x、y和z为自然整数)被转变成等离子体时,与较高电子温度情形相比约3eV或更低的电子温度将抑制气体的分解,特别是F原子、F根和类似物的产生。由于F原子、F根和类似物具有较高的硅刻蚀速度,低电子温度能实现对硅高选择率的绝缘膜刻蚀。
而且,3eV或较低电子温度同样导致离子温度变低,降低等离子体CVD的基底上的离子损伤。
如上所示的例子中,低电子温度产生较大作用,这里当电子温度已达3eV时不能马上表现出明显的效果。2eV或更低的电子温度的等离子体认为是对未来几代器件的一种有效措施。
同样,电子温度在使用静态磁场的ECRP(电子回旋谐振等离子体)或HWP(Helicon波等离子体)中高达4至6eV,在使用非静态磁场的ICP(电感耦合等离子体)中达3至4eV。这样,等离子体的电子温度在等离子体参数中最难控制,从而可以说几乎电子温度全取决于等离子体源,即,等离子体产生的方法。尽管可改变外部参数如气体种类、气体流速、气体压力、所用高频功率的大小和真空室的结构,便电子温度几乎没有变化。
然而,近来已建议一些方法,将在下面详述这些方法中的一部分。
图18为ICP刻蚀装置的剖面图。参考图18,通过同时用泵23抽气和从供气装置22将规定气体引入真空室21,使真空室21的内部保持在规定的压力,供线圈用的高频电源24将13.56MHZ的高频功率供给位于电介质25上并一端接地的线圈26,由此在真空室21中产生等离子体,在位于电极27上的基底28上实现如刻蚀、沉积和表面重整的等离子体处理。该过程中,如图18所示,用于电极的高频电源29也将高频功率供给电极27,使其能够控制到达基底28的离子能量。此外,对于阻抗匹配,在用于线圈的高频电源24和线圈26之间插入匹配电路30。已知在关闭供给线圈26的高频功率后,在余晖等离子体中电子温度以几个微秒级的时间常数迅速降低。同时,等离子体密度降低时的时间常数比电子温度的衰减时间的时间常数大,从而无需大量降低电子密度,利用约50至200KHZ的脉冲调制高频功率可允许电子温度被设置到2eV或更低。此外,一种在线圈形式上不同但与上述脉冲调制ICP系统基本相同的技术在J.H.Hahm et al.所著的,在Proceedings of Symposium on Dry Process(1996)上发表的“Characteristics of Stabilized Pulsed Plasma Via Suppression of SideBand Modes”有详细论述。同时,脉冲放电等离子体和余晖等离子体在UCHIDAROKAKUHO PUBLISHING CO.,LTD(1986)出版的Sinriki Teii所著的“Basic Engineering of Plasma”p.58中有详述。
图19为其上安装辐条天线型等离子体源的刻蚀装置的剖面图。参考图19,通过同时用泵33抽气和从供气装置32将给定气体引入真空室31使得真空室31的内部保持在规定的压力,供天线用的高频电源34将500MHz的高频功率供给位于电介质35的辐条天线36,由此在真空室31产生等离子体,在位于电极37的基底38上实现如刻蚀,沉积和表面重整的等离子体处理。该过程中,如图19所示,通过用于电极的高频电源39也将高频功率供给电极37使其能控制到达基底38的离子能量。对于阻抗匹配,在用于天线的高频电源34和辐条天线36之间插入配置短线40。尽管仍未发现清楚原因,利用500MHz的高频功率的辐条天线型等离子体源已实现2eV或更低的低电子温度。此外,该系统在S.Samukawa et al.所著的,Jpn.J.Appl.Phys.,Vol.34,Pt1,no 12B(1995)上发表的“New Ultra-High-Frequency Plasma Source for Large-Scale EtchingProcesses”,有详细论述。
然而,对于图18所示的现有系统,问题在于产生传输波功率的10%或更大的反射波功率。这是由于Q(品质因数:阻抗的电抗组分/电阻组分)的缘故,匹配电路30至线圈26的范围认为是一个负载,由于窄频带负载的结果Q非常高,从而对于等于或不同于由脉冲调制产生的基本谐波(13.56MHz)的频率组分而言不能获得匹配,而且大多数组分作为反射波返回电源。同时,尽管在相同条件下产生等离子体。反射波功率一直不稳定,难以获得处理比率的处理结果的重现性。
同时,对于图19所示的现有系统,问题在于低压下不产生等离子体。尤其是,3Pa或更低的低压区内难以产生等离子体。问题是其为使用非静态磁场的UHF波段或更高(300MHz或更高)频率的等离子体源所共有。例如,没有静态磁场,尽管使用2.45GHz的ECR等离子体源,低压下不能产生等离子体。由于实际的等离子体处理如刻蚀通常在约1Pa下进行,该系统需要在确保等离子体产生的高压区内首先产生等离子体,然后通过增加泵的排气速度或降低气体流率来改变压力至一合适区。然而,应用这种方法不能高精度刻蚀或其它处理。为了避免这种现象,需通过在真空室1内控制压力至约1Pa的合适压力产生强的静态磁场,然后利用磁波提高电子加速的能力产生等离子体,或利用一些其它系统的触发放电产生等离子体。然而,利用静态磁场或触发放电导致薄的绝缘膜在半导体装置中损坏显著增大的危险,所谓的充电损坏。而且,应用UHF波段或包括500MHz的更高(300MHz或更高)频率需要短线40来阻抗匹配,与由不同电容器构成的匹配电路相比重量和体积不可避免地增大。这导致成本上的不利问题。
考虑到现有技术的上述问题,本发明的目的是提供产生等离子体的方法和装置,能在低压下产生均匀、低电子温度的等离子体。
为完成这些和其它方面,如本发明第一方面所要求的,提供等离子体处理方法,包括:
通过真空室内部抽气同时将气体输送至真空室,将真空室内部控制至规定的压力;
在真空室内部的压力控制下,提供高频功率至导体并从导体放射电磁波至真空室,从而在平行于基底的基底表面、相隔基底表面一段规定距离的平面上离子饱和电流密度具有环状高密度部分;及
在真空室中产生等离子体并处理位于真空室内的电极上的基底。
按本发明第二方面,提供根据第一方面的等离子体处理方法,其中提供至导体的高频功率频率在50至150Mhz范围内。
按本发明第三方面,提供根据第一方面的等离子体处理方法,其中规定距离不小于50mm。
按本发明第四方面,提供根据第一方面的等离子体处理方法,其中供给导体的高频功率以脉冲形式调制,高频功率的最大值与最小值之比为10或更大。
按本发明第五方面,提供等离子体处理方法,包括:
通过真空室内部抽气同时将气体输至真空室,将真空室内部控制至规定压力;
在真空室内部的压力控制下,将高频功率输至涡流型的第一导体的一端,另一端断开;并将涡流型的第二导体的一端接地,另一端断开,从第一导体和第二导体放射电磁波至真空室,及
在真空室产生等离子体并处理位于真空室内的电极上的基底。
按本发明第六方面,提供根据第五方面的等离子体处理方法,其中供给第一导体的高频功率的频率在50至150Mhz范围内。
按本发明的第七方面,提供根据第五方面的等离子体处理方法,其中供给第一导体的高频功率以脉冲方式调制,
高频功率的最大值与最小值之比为10或更大,
第一导体和第二导体位于真空室内,
高频功率输至一端,该端为由第一导体形成的涡流中心侧的端部。
压力不大于2Pa,和
当高频功率输至第一导体的一端时,高频功率供给电极。
按本发明的第八方面,提供等离子体处理装置,包括:
将气体输至真空室的装置;
真空室内部抽气的装置;
其上放置基底的电极;及
电介质;
为在平行于位于电极上的基底表面,与基底表面相隔一规定距离的平面内产生具有环状高密度部分的等离子体,经电介质将电磁波放射进入真空室的导体;以及
将高频功率供给导体以产生等离子体的高频电源。
按本发明第九方面,提供根据第八方面的等离子体处理装置,其中供给导体的高频功率频率在50至150Mhz范围内。
按本发明第十方面,提供根据第八方面的等离子体处理装置,其中规定距离不小于50mm。
按本发明第十一方面,提供根据第八方面的等离子体处理装置,还包括以脉冲形式调制供给导体的高频功率的装置,
高频功率的最大值与最小值之比为10或更大,和
导体位于真空室内部或外部。
按本发明的第十二方面,提供等离子体处理装置,包括:
将气体输至真空室的装置;
真空室内部抽气的装置;
提供高频功率的高频电源;
其上放置基底的电极;
电介质;
涡流型第一导体,一端断开,另一端与高频功率的高电位侧(hot side)相连;以及
涡流型第二导体,一端断开,另一端接地。
按本发明的第十三方面,提供根据第十二方面的等离子体处理装置,还包括以脉冲形式调制供给第一导体的高频功率的装置,
其中具有50至150MHz频率的高频功率的高电位侧与第一导体相连,
其中高频功率的最大值与最小值之比为10或更大,
其中由第一导体形成的涡流中心侧端部与高频功率的高电位侧相连,
装置在不大于2Pa的压力下使用,以及
装置还包括将高频功率供给电极的装置。
按本发明的第十四方面,提供根据第十二方面的等离子体处理装置,其中第一导体和第二导体位于真空室内部或外部。
按本发明的第十五方面,提供根据第十二方面的等离子体处理装置,其中第一导体和第二导体形成多个涡流。
按本发明的第十六方面,提供根据第十二方面的等离子体处理装置,其中第一导体的基长为高频功率波长的1/4或1/2或5/8或相同于高频功率波长。第二导体的基长为高频功率波长的1/4或1/2或5/8或等同于高频功率波长。
按本发明的第十七方面,提供根据第十二方面的等离子体处理装置,其中第一和第二导体中每个为基本平面涡流型,经过由第一导体形成的涡流中心侧端部的直线在交会点处与放置第一导体的平面上的第一导体相交,由第一导体的切线和直线形成的角度在第一导体各处大抵恒定,经过由第二导体形成的涡流中心侧端部的直线在交会点处与放置第二导体的平面上的第二导体相交,由第二导体的切线和直线形成的角度在第二导体各处大抵恒定。
按本发明的第十八方面,提供根据第十二方面的等离子体处理装置,其中第一导体和第二导体中每个为半球形,假定第一导体和第二导体的图像投射到平行于基底的平面上经过由第一导体投射的图像形成的涡流中心侧端部的直线在平面的交会点处与第一导体投射的图像相交,由第一导体投射的图像的切线和直线形成的角度在第一导体投射的图像各处大抵恒定,经过由第二导体投射的图像形成的涡流中心侧端部的直线在平面的交会点处与第二导体投射的图像相交,由第二导体投射的图像的切线和直线形成的角度在第二导体投射的图像各处大抵恒定。
按本发明的第十九方面,提供等离子体处理方法,包括:
通过真空室内部抽气同时将气体输至真空室,将真空室内部控制至规定压力;
在真空室内部的压力控制下,将高频功率供给第一导体的基本中心部分,第一导体由多个涡流型导体构成,它们的基本中心部分相互电连接,外缘末端部分断开成多涡流型;以及
在真空室内部产生等离子体并处理真空室内电极上放置的基底。
按本发明的第二十方面,提供根据第十九方面的等离子体处理方法,其中供给第一导体的高频功率的频率在50至150MHz范围内。
供给第一导体的高频功率以脉冲形式调制。
高频功率的最大值与最小值之比为10或更大。
按本发明的第二十一方面,提供根据第十九方面的等离了体处理方法,其中基底处理的状态是:由多涡流型第二导体形成的多涡流在由第一导体形成的多涡流之间成夹层状结构,多涡流型第二导体具有互不相连而是断开的近中心部分端部和接地的外缘末端部分。
按本发明的第二十二方面,提供等离子体处理装置,包括:
将气体输至真空室的装置;
真空室内部抽气的装置;
提供高频功率的高频电源;
其上放置基底的电极;
电介质
多涡流型第一导体,由多个涡流型导体构成,外缘末端部分断开,其基本中心部分相互电连接,第一导体基本中心部分与高频功率的高电位侧相连。
按本发明的第二十三方面,提供根据第二十二方面的等离子体处理装置,其中供给第一导体的高频功率的频率在50至150MHz的范围内。
供给第一导体的高频功率以脉冲方式调制,
高频功率的最大值与最小值之比为10或更大。
按本发明的第二十四方面,提供根据第二十二方面的等离子体处理装置,其中由第一导体形成的多个涡流的每一涡流的基长为高频功率波长的1/4或1/2或5/8或等同于高频功率波长,以及
压力不大于2Pa,
还包括将高频功率供给电极的装置。
按本发明的第二十五方面,提供根据第二十二方面的等离子体处理装置,其中由多涡流型第二导体形成的多个涡流在由第一导体形成的多个涡流之间成夹层状结构,多涡流型第二导体具有互不相连而是断开的近中心部分和接地的外缘末端部分。
按本发明的第二十六方面,提供根据第二十五方面的等离子体处理装置,其中由第二导体形成的多个涡流的每一涡流的基长为高频功率波长的1/4或1/2或5/8或等同于高频功率的波长。
参考附图,结合其优选实施例,通过下列描述,本发明的这些和其它方面及特征将更为清晰。
图1表示本发明第一实施例所用等离子体处理装置的透视图;
图2为本发明第一实施例中的导体的平面图;
图3表示本发明第一实施例中的离子饱和电流密度测量结果图;
图4表示本发明第一实施例中的离子饱和电流密度测量结果图;
图5表示本发明第一实施例中刻蚀结果图;
图6表示本发明第一实施例脉冲调制图;
图7表示本发明第一实施例中刻蚀结果图;
图8表示本发明第二实施例所用的等离子体处理装置的结构剖面图;
图9A为本发明第一实施例改进的导体平面图;
图9B为本发明第一实施例另一改进的导体平面图,部分涡流从那里分离,分成两个涡流;
图9C为本发明第一实施例另一改进的导体平面图,它应用于直角基底,直角基底用于液晶面板;
图10表示本发明第三实施例所用的等离子体处理装置的透视图;
图11为本发明第三实施例的导体平面图;
图12表示本发明第三实施例的刻蚀结果图;
图13表示本发明第三实施例的脉冲调制图;
图14表示本发明第三实施例的刻蚀结果图;
图15表示本发明第四实施例所用的等离子体处理装置结构的剖面图;
图16表示本发明另一实施例所用的等离子体处理装置结构的透视图;
图17为本发明另一实施例所用的导体的平面图;
图18表示现有技术例子所用的等离子体处理装置的结构的透视图;
图19表示另一现有技术例子所用的等离子体处理装置的结构的透视图;
图20表示“puttering”装置结构的透视图,靶安置在本发明第一实施例所用的等离子处理装置的真空室顶表面的内表面上。
在叙述本发明前,应注意整个附图用类似参考标号标定类似部件。
下文中,本发明第一实施例参考图1至图7描述。
图1表示本发明第一实施例所用的等离子体处理装置的透视图,参考图1,当通过同时用泵103抽气和从供气单元102将特定气体引入真空室101使真空室101内部保持在规定压力时,供导体用的高频电源104将100MHz的高频功率供给位于电介质105上并含导电材料的第一导体106a,除含导电材料的第二导体106b接地外,由此在真空室101产生等离子体,在放置于电极107上的基底108上实现如刻蚀,沉积和表面重整的等离子体处理。为实现该等离子体处理,由第一导体106a形成的涡流的中心侧端部111a与高频功率的高电位侧相连。同时,由第二导体106b形成的涡流的中心侧端部111b接地。第一和第二导体106a,106b基本为平面,呈涡流型,它们的各自外末端断开。此外,第一导体106a和第二导体106b由铜制成装配以形成多个涡流。提供用于电极并具有将高频功率供给电极107的作用的高频电源109,从而能控制到达基底108的离子能量。对于阻抗匹配,在用于导体的高频电源104和第一导体106a之间设置含两个可变电容器的匹配电路110。而且,用于导体的高频电源104能以脉冲方式调制高频功率。
应注意到本发明第一实施例所用的等离子体处理装置应用了基本上与图18所述的现有技术的ICP刻蚀设备不同的等离子体源。更详细地,在图18所示现有技术例子中线圈26的一端接地,而本发明第一实施例中第一导体106a一端断开。为此,在图18所示现有技术例子中,流经线圈26的电流在线圈26的任何部分近似恒定,通过上述电流在真空室21中形成的高频磁场感应的高频电场,电子被加速。相反,本发明第一实施例中,电压驻波和电流驻波出现在第一导体106a和第二导体106b,由这些驻波放射进真空室101的电磁波用来实现电子加速。相应地,本发明第一实施例所用的第一导体106a和第二导体106b尽管为涡流型,不是所谓的线圈,而是适宜称为天线的那种。然而,由于在未经审查日本特开专利公开No.7-106316中ICP系统的线圈称为“天线”的一些情况存在,为避免混淆,这里叙述不用术语“天线”。
图2表示导体的平面图。第一导体106a的基长为高频功率波长(3000mm)的四分之一,750mm。类似地,第二导体106b的基长为高频功率波长(3000mm)的四分之一,750mm。同时,其结构为:经由第一导体106a形成的涡流的中心侧端部111a的直线112a在交会点113a处与放置第一导体106a的平面上的第一导体106a相交,由第一导体106a切线114a和直线112a形成的角度θa在第一导体106a各处大抵恒定。类似地,其结构为:经由第二导体106b形成的涡流的中心侧端部111b的直线112b在相会点113b处与放置第二导体106b的平面上的第二导体106b相交,由第二导体106b切线和直线112b形成的角度θb在第二导体106b各处大抵恒定。
图3表示利用Langmuir探头法测试平面内离子饱和电流密度的结果,该平面平行基底表面,离基底表面80mm,该测试条件为:气体种类和其流率和压力设定为Ar=30sccm,1Pa,通过将1000W的100MHz高频功率供给第一导体106a产生等离子体。同样,图4表示在平行于基底平面并离基底表面10mm的平面内的离子饱和电流密度测试结果。从图3中可了解,在平行于基底平面并离基底表面80mm的平面内的离子饱和电流密度分布具有环状高密度部分。如图4所示,通过漫射传送等离子体,在接近基底处具有特别均匀的分布。换句话说,为得到在接近基底处均匀的等离子体,离子饱和电流密度分布须在远离基底表面的距离具有环状高密度部分,如图3所示。通过不同方式改变导体形状的实验结果,发现当在平行于基底平面并离基底平面50mm或更远距离的平面内的离子饱和电流密度具有环状高密度部分时,在邻近基底处得到均匀的等离子体。
带有300nm厚的多晶硅膜的直径8英寸硅基底108放置在电极107上,气体种类和其流率和压力设为Cl2=100sccm,1Pa,1000W的100MHz(连续波)高频功率供给第一导体106a,同时15W的500kHz高频功率供给电极。结果,多晶硅膜被刻蚀并得到310nm/min的刻蚀率。然而,如图5所示,出现凹槽。同时,利用Langmuir探针方法估算在这些条件下产生的等离子体,在邻近基底处电子温度为2.5eV。
然后,供给第一导体106a的高频功率以脉冲形式调制,从而最大值1500W的时间为10μsec和最小值0W为10μsec,如图6所示,同时其它条件与连续波一致。结果,多晶硅膜被刻蚀并得到320nm/min的刻蚀率,能实现如图7所示的高精度刻蚀,而不出现凹槽。同时,利用Langmuir探针方法估算在这些条件下产生的等离子体,在邻近基底处电子温度为1.8eV。此外,反射波功率不大于传输波功率最大值1500W的1%。如现有ICP中这样大反射波不出现的原因是得到足够的匹配,尽管频率组份不同于脉冲调制产生的基本谐波(100MHz),当从匹配电路110至第一导体和第二导体的范围作为一个负载时,质量因子Q小于宽带负载结果。因此可易得到处理率的重复性和其它处理结果,可导致优良的实用性。
应注意到高频功率无需以脉冲形式调制。同时利用连续波,如果提高罩(保护层)的刻蚀率,设定供给电极的高频功率为20至30W能防止出现凹槽。
下面,参考图8描述本发明的第二实施例。
图8表示本发明第二实施例所用的等离子体处理装置的剖面图。参考图8,当通过同时用泵103抽气并从供气单元102将特定气体引入真空室101使真空室101的内部保持在规定压力时,供导体用的高频电源104将100MHz高频功率供给置于电介质105上的每导体106a,此外第二导体106b接地,由此在真空室101产生等离子体,在置于电极107上的基底108上实现如刻蚀、沉积和表面重整的等离子体处理。为实现该等离子体处理,由第一导体106a形成的涡流中心侧端部111a与高频功率的高电位侧相连。同时,由第二导体106b形成的涡流中心侧端部111b接地。第一和第二导体106a,106b呈半球形及涡流状,它们各自外面的一端断开。此外,第一导体106a和第二导体106b由铜制成,其结构为形成多个涡流。设有供电极用并起将高频功率供给电极107的的高频电源109,从而能控制抵达基底108的离子能量。对于阻抗匹配,在用于导体的高频电源104和第一导体106a之间设有含两个可变电容器的匹配电路110。而且,用于导体的高频电源104能以脉冲形式调制高频功率。
应注意到本发明第二实施例所用的等离子体处理装置应用了基本上与图18所述的现有技术的ICP刻蚀设备不同的等离子体源,类似本发明第一实施例所用的等离子体处理装置。
第一导体106a的基长为高频功率的波长(3000mm)的四分之一,750mm。类似地,第二导体106b的基长为高频功率的波长(3000mm)的四分一,750mm。
假定第一和第二导体的图像投射到平行于基底的平面上,该平面产生类似图2的结果。也就是说,其结构为:经由第一导体106a投射的图像形成的涡流中心侧端部111a的直线112a在上述平面上的交会点113a处与第一导体106a投射图像相交,由第一导体106a役射的图像的切线114a与直线112a形砀角度θa在第一导体106a投射的图像各处大抵恒定。类似地,其结构为:经由第二导体106b投射的图像形砀涡流中心侧端部111b的直线112b在上述现面上的交会点113b处与第二导体106b投射的图像相交,由第二导体投射的图像的切线114b与直线112b形成的角度θb在第二导体106b投射的图像各处大抵恒定。
带有200nm厚硅化钨膜的直径8inch硅基底108置在电极107上,气体种类和其流速和压力设定为:Cl2=150sccm,Pa,1000W的100MHz高频功率(连续波,供给第一导体106a,同时25W的500kHz高频功率供给电极。结果,硅化钨膜被刻蚀并得到280nm/min的刻蚀率。
当第一导体106a和第二导体106b呈半球形,使得相应呈半球形的电介质能让需保持真空的电介质厚度轻易降低,从而在导体和等离子体之间耦合状态变得良好。因此,能更有效地产生等离子体。当然,平面导体和半球形电介质的结合或半球形导体和平面电介质的结合也能产生等离子体。
本发明的上述实施例已在供给第一导体的高频功率频率为100MHz的情况下作了描述。然而,频率并不限制于此,本发明的等离子体处理方法和装置特别在50MHz至150MHz的频率范围内有效。利用不低于50MHz的频率,能确定连续波等离子体的电子温度为3eV或更低,确定脉冲调制等离子体的电子温度为2eV或更低,并抑制反射波功率在传输波功率的很小百分比以下。而且,频率不高于150MHz,2Pa或更低压力就能产生等离子体,无需短线就获得到阻抗匹配。然而,利用低于50MHz或高于150MHz的频率,也能应用本发明的导体结构。
尽管本发明的实施例已在压力的2Pa或更低的情况下作了如上描述,压力并不需要必为2Pa或更低。
尽管本发明的实施例已在导体设在真空室101外面的情况下作了如上描述,导体可设在真空室的内部。
尽管本发明的实施例已在导体由铜制成的情况下作了如上描述,导体可以是如铝、不锈钢或类似物的其它导体。
尽管本发明的实施例已就多晶硅膜的刻蚀和硅化钨膜的刻蚀作了如上描述,不用说本发明也可应用于如其它刻蚀,溅射和CVD的等离子体处理。在这些之中的一些情况中,高频功率并不需供给电极,但很明显本发明对这类处理也有效。
尽管本发明的实施例已在以脉冲形式调制高频功率时高频功率最大值与最小值之比为无限的情况下作了上述描述,约10或更大的该比率使电子温度能降至2eV或更低。
尽管本发明的实施例已在以脉冲形式调制高频功率时调制周期为20μsec(调制频率=5kHz)的情况下作了上述描述,当然调制频率并不限定于此。同时,将高频功率最大值供给的时间间隔与把高频功率最小值供给的时间间隔之比(占空比)当然不限定于0.5(50%)。
尽管本发明的实施例已在第一导体和第二导体每个的基长为高频功率波长的四分之一的情况下作了如上描述,基长也可为其它长度,用以控制电磁波辐射图,匹配状态和效率。特别是,应用具有长度为高频功率波长的1/4,1/2或5/8的导体可易得到良好匹配状态。
尽管本发明的实施例已在高频功率供给由第一导体形成的涡流中心侧端部的情况下作了如上描述,高频功率也可供给外侧一端,或任意位置而不是第一导体的末端部分。然而,在这样一些情况下,可能难以得到均匀的等离子体。
尽管本发明的实施例已在由第二导体形成的涡流中心侧端部接地的情况下作了如上描述,外侧末端部分,或任意位置而不是第二导体的末端部分也可接地。
尽管本发明的实施例已在使用一端接地的第二导体的情况下作了如上描述,然而,尽管不用第二导体也能产生等离子体,这样的方式被认为是包括在本发明的范围内。
本发明的实施例已在这样一种情况下作了如上描述,该情况为:经由第一导体形成的涡流中心侧端部的直线在交会点处与平面上的第一导体相交,该平面上放置第一导体,由第一导体的切线与直线形成的角度在第一导体各处大抵恒定,以及经由第二导体形成的涡流中心侧端部的直线在交会点处与平面上的第二导体相交,该平面上放置第二导体,由第二导体切线和直线形成的角度在第二导体各处大抵恒定(使用基本上平面导体的情况),和另一种情况为:假定第一导体和第二导体的图像投射在平行于基底的平面上,经由第一导体投射的图像形成的涡流中心侧端部的直线在上述平面上的相会点处与第一导体投射的图像相交,由第一导体投射的图像的切线与直线形成的角度在第一导体投射的图像各处大抵恒定,以及经由第二导体投射的图像形成的涡流中心侧端部的直线在上述平面上的相会点处与第二导体投射的图像相交,由第二导体投射的图像的切线与直线形成的角度在第二导体投射的图像各处大抵恒定(使用半球形导体的情况)。然而,并不必须满足这些条件。尽管如此当这些条件被满足时,由于第一导体的阻抗的电抗组份小易得到良好匹配状态。
从上述描述可看出,如本发明第一方面所要求的,提供了通过在真空室产生等离子体用来处理置于真空室内的电极上的基底的等离子体处理方法,其步骤为:通过真空室内部抽气同时将气体输至真空室,将真空室内部控制至规定压力:以及,在真空室内部压力控制下,高频功率供给用于辐射电磁波至真空室的导体,其中在平行基底平面、离基底平面规定距离的平面内的离子饱和电流密度分布具有环状高密度部分。因此,低压下在邻近基底处能得到均匀的低电子温度等离子体,从而能实现高精度的等离子体处理。
同时,如本发明的第二方面所要求的,提供等离子体处理方法,用来通过在真空室产生等离子体以处理放置在真空室内的电极上的基底,等离了体通过辐射电磁波至真空室来产生,其步骤为:通过真空室内部抽气同时将气体输至真空室,使真空室内部控制至规定压力,以及,在真空室内部压力控制下,高频功率供给第一导体的一端,第一导体的另一端断开并基本上第一导体呈平面形及涡流型。而第二导体一端接地,另一端断开,第二导体基本为平面形及涡流型。因此,低压下能获得到低电子温度等离子体,从而能实现高精度的等离子体处理。
同时,如本发明第三方面所要求的,提供等离子体处理方法,用来通过在真空室产生等离子体来处理放置在真空室内电极上的基底,等离子体通过辐射电磁波至真空室而产生,其步骤为:通过真空室内部抽气同时将气体输至真空室,使真空室内部控制至规定压力;以及,在真空室内部压力控制下,高频功率供给第一导体的一端,第一导体的另一端断开,第一导体呈半球形及涡流型,第二导体的一端接地,另一端断开,第二导体成半球形和涡流型。因此,低压下得到低电子温度等离子体,从而能实现高精度等离子体处理。
同时,如本发明第四方面所要求的,提供等离子体处理装置,包括:将气体输至真空室的装置;抽气真空室内部的装置;用来其上放置基底的电极;以及电介质,其特征还包括:为在平行于置于电极上的基底表面、离基底表面规定距离的平面内产生具有环状高密度部分的等离子体,用于经电介质辐射电磁波至真空室的导体;以及能将高频功率供给导体的高频电源。因此,低压下在邻近基底处能得到均匀的低电子温度等离子体。从而能实现高精度等离子体处理。
同时,如本发明第五方面所要求的,提供等离子体处理装置,包括:将气体输至真空室的装置;抽气真空室内部的装置;能提供高频功率的高频电源;用于其上放置基底的电极;以及电介质,其特征还包括:一端断开和另一端与高频功率的高电位侧相连的第一导体,第一导体基本呈平面形及涡流型,以及一端断开和另一端接地的第二导体,第二导体基本呈平面形及涡流型。因此,低压下能得到低电子温度等离子体,从而能实现高精度等离子体处理。
同时,如本发明第六方面所要求的,提供等离子体处理装置,包括:将气体输至真空室的装置;抽气真空室内部的装置;能提供高频功率的高频电源;用于其上放置基底的电极;以及电介质,其特征还包括:一端断开和另一端与高频功率的高电位侧相连的第一导体,第一导体呈基本半球形和涡流型,以及一端断开和另一端接地的第二导体,第二导体呈基本半球形和涡流型。因此,低压下能得到低电子温度等离子体,从而能实现高精度等离子体处理。
涡流形状并不限定上述形状,涡流可以是如下列例子的任何形状构成。图9A为本发明第一实施例的改进导体的平面图,第一和第二导体106a,106b的涡流以同一方向形成。图9B为本发明第一实施例的另一改进导体的平面图,一个涡流的部分从那里分离,分成两个涡流,第一和第二涡流。图9C为本发明第一实施例的另一改进导体的平面图,其应用于直角基底,直角基底用于液晶面板,涡流形状近似直角。
下文中,参考图10至14描述本发明第三实施例。
图10表示本发明第三实施例所用的等离子体处理装置的透视图。参考图10,当通过同时用泵3抽气并从供气单元2将特定气体引入真空室1,保持真空室1的内部在特定压力下时,供导体用的高频电源4将100MHz高频功率供给置于电介质体5上的第一导体6a的基本中心部分11a,由此在真空室1产生等离子体,在位于电极7上的基底8上实现如刻蚀、沉积,或表面重整的等离子体处理。第一导体6a具有断开的外缘末端部分并形成含有多个基本上平面的、涡流型导体的多个涡流,涡流型导体基本中心部分11a相互电连接。同时,第一导体6a的基本中心部分11a与高频功率的高电位侧相连。第二导体6b为基本平面并多涡流型。邻近第二导体6b的中心部分的末端部分11b无需相互连接而是断开,同时第二导体6b的外缘末端部分12b接地。第一导体6a和第二导体6b由铜制成,其结构为:由第二导体6b形成的多个涡流在由第一导体6a形成的多个涡流之间呈夹层状,设有供电极用并起将高频功率供给电极7作用的高频电源9,从而能控制抵达基底8的离子能量。对于阻抗匹配,在用于导体的高频电源4和第一导体6a之间设有含两个可变电容器的匹配电路10。用于导体的高频电源4能以脉冲形式调制高频功率。
应注意到本发明第三实施例所用的等离子体处理装置应用了与图18所示的现有技术的ICP刻蚀设备基本不同的等离子体源。更详细地,图18所示现有技术例子中线圈26的一端接地,而本发明第三实施例中第一导体6a的外缘末端部分12a断开。为此,在图18所示的现有技术例子中,流经线圈26的电流在线圈26任何部分近似恒定,通过上述电流在真空室21中形成的高频磁场而感应的高频电场,电子被加速。相反,本发明第三实施例中,电压驻波和电流驻波出现在第一导体6a和第二导体6b,通过这些驻波辐射至真空室1的电磁波用来实现电子的加速。相应地,尽管本发明第三实施例所用的第一导体6a和第二导体6b为涡流型,不是那种所谓的线圈,而是适宜称为天线的那种。然而,由于存在ICP系统的线圈在未经审查日本特开专利公开No.7-106316称为“天线”的一些情况,为避免混淆,这里不用术语“天线”来描述。
图11表示导体的平面图。第一导体6a的基长为高频功率波长(3000mm)的四分之一,750mm。类似地,第二导体6b的基长为高频功率波长(3000mm)的四分之一,750mm。
带有300nm厚的多晶硅膜的直径8英寸硅基底8放置在电极7上,气体种类和其流率和压力设定为:Cl2=100sccm,1Pa,1000W的100MHz(连续波)高频功率供给第一导体6a,同时15W的500kHz高频功率供给电极。结果,得到300nm/min的刻蚀率,多晶硅膜被刻蚀。尽管在如图12所示的这些条件下出现凹槽,与在如图18所示的传统ICP刻蚀设备的类似刻蚀条件下完成处理的情况相比,凹槽数量少。同时,通过用Langmuir探针方法估算在这些条件下产生的等离子体,发现在邻近基底处的电子温度为2.5eV。
而且,以脉冲形式调制供给第一导体6a的高频功率,从而最大值1500W的时间为10μsec,最小值0W的时间为10μsec,如图13所示,同时其它条件与连续波中相同。结果,多晶硅膜被刻蚀,得到310nm/min的刻蚀率,如图14所示,能实现高精度刻蚀,而不发生凹槽。同时,通过利用Langmuir探针方法估算在这些条件下产生的等离子体,发现在邻近基底处的电子温度为1.8eV。此外,反射波功率不大于传输波功率最大值1500W的1%。如传统ICP系统这么大的反射波不出现的原因是得到足够的匹配,尽管频率组份等于或不同于利用脉冲调制产生的基本谐波(100MHz),当从匹配电路10至第一导体和第二导体的范围作为一个负载,由于宽带负载的结果质量因子Q小。因此,可易得到处理率的重复性和其它处理结果,可导致优良的实用性。
应注意到高频功率并不需要以脉冲形式调制。同时利用连续波,如提高罩(保护层)的刻蚀率,设定供给电极的高频功率为20至30W能防止凹槽的发生。
下面,参考图15描述本发明的第四实施例。
图15表示本发明第四实施例所用的等离子体处理装置的剖面图。参考图15,当通过同时用泵3抽气并从供气单元2将特定气体输至真空室1,将真空室1内部保持在规定压力,供导体用的高频电源4将100MHz高频功率供给位于电介质5上的第一导体6a的基本中心部分11a,由此在真空室1产生等离子体,在位于电极7上的基底8上实现如刻蚀,沉积,或表面重整的等离子体处理。第一导体6a具有断开的外缘末端部分12a并形成含多个半球形、涡流导体的多个涡流,其基本中心部分11a相互电连接。同时,第一导体6a的基本中心部分11a与高频功率的高电位侧相连。第二导体6b为半球形和多涡流型。邻近第二导体6b的中心部分的末端部分11b断开而不相互连接,同时第二导体6b的外缘末端部分12b接地。第一导体6a和第二导体6b由铜制成,其结构为:由第二导体6b形成的多涡流在由第一导体6a形成的多涡流之间呈夹层状。此外,第一导体6a和第二导体6b的图像投射到平行基底8的平面上,类似于图11的那种。设有供电极用并起将高频功率供给电极7作用的高频电源9,从而能控制抵达基底8的离子能量。对于阻抗匹配,在用于导体的高频电源4和第一导体6a之间设有包含两个可变电容器的匹配电路10。用于导体的高频电源4能以脉冲形式调制高频功率。
应注意到本发明第四实施例所用的等离子体处理装置应用了与图18所述的现有技术的ICP刻蚀设备基本不同的等离子体源,类似本发明第三实施例所用的等离子体处理装置。
第一导体6a的基长为高频功率波长(3000mm)的四分之一,750mm。类似地,第二导体6b的基长为高频功率波长(3000mm)的四分之一,750mm。
具有200nm厚的硅化钨膜的直径8英寸硅基底8放置在电极7上,气体种类和其流率和压力设定为:Cl2=150sccm,1.5Pa,1000W的100MHz(连续波)高频功率供给第一导体6a,同时25W的500kHz高频功率供给电极。结果,硅化钨膜被刻蚀,得到270nm/min的刻蚀率。
当第一导体6a和第二导体6b呈半球形,使相应为半球形的电介质5能让需保持真空的电介质5的厚度轻易降低,从而导体与等离子体之间的耦合状态变得良好。因此,能更有效地产生等离子体。当然,尽管平面导体和半球形电介质结合或半球形导体和平面电介质结合也能产生等离子体。
此外,第一导体6a只需其基本中心部分11a相互电连接。例如图16所示,高频功率的高电位侧在离开第一导体的点处分流。
本发明上述实施例已在第一导体和第二导体为双涡流型(多样性=2)的情况下作了描述。然而,多样性并不限定于2,可选择任何多样性。多样性增加越多,外缘等离子体分布就越小,从而得到更均匀的等离子体。然而,由于改变多样性将导致第一导体的阻抗改变,应选择能得到合适匹配结果的多样性。作为多样性不是2的例子,图17表示第一导体和第二导体的平面图,其多样性为4。应注意到本发明的新颖之外在于第一导体是多涡流型,比应用已作推荐的单一涡流型导体的方法和装置而言表现出在等离子体均匀性方面更优异的性能。
本发明上面实施例已在供给第一导体的高频功率的频率为100MHz的情况下作了描述。然而,频率并不限制于此,本发明等离子体处理方法和装置特别在50MHz至150MHz的范围内有效。使用不小于50MHz的频率,对连续波等离子体能设定电子温度3eV或更低,对脉冲调制等离子体能设定电子温度2eV或更低,并抑制反射波功率在传输功率的很小百分比下。而且,频率不大于150MHz,2Pa或更低压力下能产生等离子体,同时无需短线就得到阻抗匹配。然而,利用低于50MHz或高于150MHz的频率,也能采用本发明的导体结构。
尽管本发明实施例已在压力为2Pa或更低的情况下作了上面说明,压力并不必须需要为2Pa或更低。
尽管本发明实施例已在导体设在真空室外部的情况下作了上面描述,导体可设在真空室内部。然而,当导体设在真空室内部时,由导体与等离子体反应产生的杂质可包括在基底。因此,导体最好设在真空室外面。
尽管本发明实施例已在导体由铜制成的情况下作了上面描述,导体可以是如铝、不锈钢或类似物的其它导体。
尽管本发明实施例已就多晶硅膜的刻蚀和硅化钨膜的刻蚀作了上面说明,不用说本发明也可应用于如其它刻蚀,溅射和CVD的等离子体处理。这些之中的一些情况下,高频功率并不需供给电极,但明显本发明对这类处理也有效。
尽管本发明实施例已在高频功率的最大值与最小值之比在以脉冲形式调制高频功率为无限的情况下作了上面说明,约10或更大的该比仍使电子温度降至2eV或更低。
尽管本发明实施例已在以脉冲形式调制高频功率的调制周期为20μsec(调制频率=50kHz)的情况下作了上面说明,当然调制频率不限定于此。同时,高频功率最大值供给的时间间隔与高频功率最小值供给的时间间隔之比(占空比)并不限定0.5(50%)。
尽管本发明实施例已在第一导体和第二导体每一个的基长为高频功率波长的四分之一的情况下作了上面说明,长度仍可是其它一些长度,用以控制电磁波辐射图,匹配状态和效率。特别是,应用长度为高频功率波长1/4,1/2或5/8的导体易得到合适匹配状态。
尽管本发明实施例已在应用一端接地的第二导体的情况下作了上面说明,不使用第二导体仍可产生等离子体。这样的形式认为是包括在本发明范围内。
从上述描述可看出,按本发明,提供等离子体处理方法,包括:通过抽气真空室内部同时将气体输至真空室,控制真空室内部至规定压力;在真空室内部的压力控制下,高频功率供给第一导体的基本中心部分,第一导体包括多个涡流型导体,它们基本中心部分相互电连接及外缘末端部分断开而成多涡流型;在真空室产生等离子体并处理置在真空室内电极上的基底。因此,低压下能得到高均匀,低电子温度的等离子体,从而能实现高精度等离子体处理。
同时,按本发明,提供等离子体处理装置包括:将气体输至真空室的装置;用于抽气真空室内部的装置;提供高频功率的高频电源;用于其上放置基底的电极;电介质;多涡流型第一导体,其外缘末端部分断开,包括多个基本平面或半球形涡流型导体,它们的基本中心部分相互电连接,第一导体的基本中心部分与高频功率的高电位侧相接。因此,低压下能得到高均匀低电子的等离子体,从而能实现高精度等离子体处理。
在上述实施例中,角度θb最好近似等于或等于角度θb
由于图10中的上述实施例,电流从涡流的中心侧端部分11a以两个不同方向流经,匀称性变得良好并能降低电流量。
在按本发明第一实施例的等离子体处理装置用作溅射装置的情况下,这样的溅射装置如图20所示。也就是,图20为表示溅射装置的示意结构的透视图,靶500装在等离子体处理装置真空室101的内表面,高频电源501将0-1MHz高频功率供给靶500。例如,靶500布置在真空室101的内表面,在真空室内部的基底8上。该溅射装置能得到本发明第一实施例所用的等离子体处理装置的相同作用。通过以上面类似方式将靶500布置在真空室101内表面,在真空室101内部的基底8上,其它实施例的每一个等离子体处理装置能用作溅射装置。
1997.3.27申请的日本专利申请No.9-75436和1997.5.22申请的No.9-132110的全文,包括说明书、权利要求、附图和摘要在这里全部作为参考。
尽管本发明参考附图、结合其优选实施例已被充分描述,应注意到本领域专业人员可作各种改变和改进。应认为这种改变和改进包括在权利要求所确定的本发明范围内,除非其脱离本发明。

Claims (26)

1.等离子体处理方法,包括:
通过抽气真空室内部同时将气体输至真空室,把真空室(1,101)内部控制到规定压力;
在真空室内部的压力控制下,向导体(6a,6b,106a,106b)供给高频功率并从导体辐射电磁波至真空室,从而在平行于基底(8,108)的基底表面,离基底表面一规定距离的平面内的离子饱和电流密度分布具有环状高密度部分;以及
在真空室产生等离子体并处理位于真空室内电极(7,107)上的基底。
2.如权利要求1所述的等离子体处理方法,其特征在于供给导体的高频功率的频率位于50至150MHz范围内。
3.如权利要求1所述的等离子体处理方法,其特征在于规定距离不小于50mm。
4.如权利要求1所述的等离子体处理方法,其特征在于供给导体的高频功率以脉冲形式调制,高频功率的最大值与最小值之比为10或更大。
5.等离子体处理方法,包括:
通过抽气真空室内部同时将气体输至真空室,控制真空室(1,101)内部至规定压力。
在真空室内部的压力控制下,高频功率供给第一导体(6a,106a)的一端(11a,111a),另一端断开,第一导体为涡流型,第二导体(6b,106b)的一端(11b,111b)接地,另一端断开,第二导体为涡流型。并从第一导体和第二导体辐射电磁波至真空室;和
在真空室产生等离子体并处理位于真空室内电极(7,107)上的基底(8,108)。
6.如权利要求5所述的等离子体处理方法,其特征在于供给第一导体的高频功率的频率在50至150MHz范围内。
7.如权利要求5所述的等离子体处理方法,其特征在于供给第一导体的高频功率以脉冲形式调制,高频功率的最大值与最小值之比为10或更大,
第一导体和第二导体设在真空室内部,
高频功率供给由第一导体形成的涡流中心侧端部分的一端,
压力不大于2Pa,以及
当高频功率供给第一导体的一端时,高频功率供给电极。
8.等离子体处理装置,包括:
将气体输至真空室的装置(2,102);
用于抽气真空室内部的装置(3,103);
用于其上放置基底(8,108)的电极(7,107);以及
电介质(5,105);
为在平行于电极上的基底表面、离基底表面规定距离的平面内产生具有环状高密度部分的等离子体,用于经电介质辐射电磁波至真空室的导体(6a,6b,106a,106b);以及
用于将高频功率供给导体来产生等离子体的高频电源(4,104)。
9.如权利要求8所述的等离子体处理装置,其特征在于供给导体的高频功率的频率在50至150MHz范围内。
10.如权利要求8所述的等离子体处理装置,其特征在于规定距离不小于50mm。
11.如权利要求8所述的等离子体处理装置,其特征在于还包括用于以脉冲形式调制供给导体的高频功率的装置(4,104)。
高频功率的最大值与最小值之比为10或更大,以及
导体设在真空室内部或外部。
12.等离子体处理装置,包括:
用于将气体输至真空室的装置(2,102);
用于抽气真空室内部的装置(3,103);
用于提供高频功率的高频电源(4,104);
用于其上放置基底的电极(7,107);
电介质(5,105);
第一导体(6a,106a),其一端断开,另一端与高频功率的高电位侧相连,呈涡流型;以及
第二导体(6b,106b),其一端断开,另一端接地,呈涡流型。
13.如权利要求12所述的等离子体处理装置,其特征在于还包括用于以脉冲形式调制供给第一导体的高频功率的装置,
其中具有50至150MHz频率的高频功率的高电位侧与第一导体相连,
其中高频功率的最大值与最小值之比为10或更大,
其中由第一导体形式的涡流中心侧端部分与高频功率的高电位侧相连,
可在不大于2Pa压力下操作装置,以及
装置还包括用于将高频功率供给电极的装置(4,104)。
14.如权利要求12所述的等离子体处理装置,其特征在于第一导体和第二导体设在真空室内部或外部。
15.如权利要求12所述的等离子体处理装置,其特征在于第一导体和第二导体形成多涡流。
16.如权利要求12所述的等离子体处理装置,其特征在于第一导体的基长为高频功率波长的1/4或1/2或5/8或与高频功率波长相同,第二导体的基长为高频功率波长的1/4或1/2或5/8或与高频功率波长相同。
17.如权利要求12所述的等离子体处理装置,其特征在于第一导体和和第二导体的每一个为基本平面涡流型,经由第一导体形成的涡流中心侧端部分的直线在交会点处与平面上的第一导体相交,第一导体放置在该平面上,由第一导体切线和直线形成的角度在第一导体各处大抵恒定;以及经由第二导体形成的涡流中心侧端部分的直线在交会点处与平面上的第二导体相交,第二导体放置在该平面上,由第二导体切线与直线形成的角度在第二导体各处大抵恒定。
18.如权利要求12所述的等离子体处理装置,其特征在于第一和第二导体的每一个为半球形,并假定第一导体和第二导体的图像投射到平行于基底的平面上,经由第一导体的投射图像形成的涡流中心侧端部分的直线在交会点处与第一导体的投射图像相交,由第一导体的投射图像的切线和直线形成的角度在第一导体的投射图像的各处大抵恒定;和经由第二导体的投射图像形成的涡流中心侧端部分的直线在交会点处与第二导体的投射图像相交,由第二导体的投射图像的切线与直线形成的角度在第二导体的投射图像的各处大抵恒定。
19.等离子体处理方法,包括:
通过抽气真空室内部同时将气体输至真空室,控制真空室(1)内部至规定压力;
在真空室内部压力控制下,高频功率供给第一导体的基本中心部分,第一导体包含多个涡流型导体,它们的基本中心部分相互电连接,外缘末端部分断开,呈多涡流型;以及
在真空室产生等离子体并处理放置在真空室内电极(7)上的基底(8)。
20.如权利要求19所述的等离子体处理方法,其特征在于供给第一导体的高频功率的频率在50至150MHz范围内。
以脉冲形式调制供给第一导体的高频功率,
高频轴功率的最大值与最小值之比为10或更大。
21.如权利要求19所述的等离子体处理方法,其特征在于基底被处理时的状态为:由多涡流型第二导体形成的多个涡流在由第一导体形成的多个涡流之间成夹层状,第二导体具有互不相接而是断开的近中心部末端部分和接地的外缘末端部分。
22.等离子体处理装置,包括:
用于将气体输至真空室的装置(2);
用于抽气真空室内部的装置(3);
用于提供高频功率的高频电源(4);
用于其上放置基底的电极(7);
电介质(5);
多涡流型第一导体(6a),具有断开的外缘末端部分,第一导体包含多个涡流型导体,它们的基本中心部分相互电连接,第一导体的基本中心部分高频功率的高电位侧相连。
23.如权利要求22所述的等离子体处理装置,其特征在于供给第一导体的高频功率的频率在50至150MHz范围内。
以脉冲形式调制供给第一导体的高频功率,
高频功率最大值与最小值之比为0或更大。
24.如权利要求22所述的等离子体处理装置,其特征在于由第一导体形成的多个涡流的每个涡流基长为高频功率波长的1/4或1/2或5/8或与高频功率的波长相同,以及
压力不大于2Pa,
还包括用于将高频功率供给电极的装置(9)。
25.如权利要求22所述的等离子体处理装置,其特征在于由多涡流型第二导体形成的多个涡流在由第一导体形成的多个涡流之间成夹层状,第二导体具有互不相连而是断开的近中心部末端部分和接地的外缘末端部分。
26.如权利要求25所述的等离子体处理装置,其特征在于由第二导体形成的多个涡流的每个涡流基长为高频功率波长的1/4或1/2或5/8或与高频功率的波长相同。
CN98109290A 1997-03-27 1998-03-27 等离子体处理的方法及装置 Expired - Fee Related CN1102801C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP75436/1997 1997-03-27
JP75436/97 1997-03-27
JP07543697A JP3736016B2 (ja) 1997-03-27 1997-03-27 プラズマ処理方法及び装置
JP132110/1997 1997-05-22
JP132110/97 1997-05-22
JP13211097A JP3658922B2 (ja) 1997-05-22 1997-05-22 プラズマ処理方法及び装置

Publications (2)

Publication Number Publication Date
CN1199242A true CN1199242A (zh) 1998-11-18
CN1102801C CN1102801C (zh) 2003-03-05

Family

ID=26416569

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98109290A Expired - Fee Related CN1102801C (zh) 1997-03-27 1998-03-27 等离子体处理的方法及装置

Country Status (4)

Country Link
US (3) US6093457A (zh)
KR (1) KR100289239B1 (zh)
CN (1) CN1102801C (zh)
TW (1) TW376547B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1314072C (zh) * 2001-10-22 2007-05-02 应用材料有限公司 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
CN100351988C (zh) * 2001-03-30 2007-11-28 拉姆研究有限公司 控制等离子体激励功率的等离子体处理方法和装置
CN100364035C (zh) * 2001-09-28 2008-01-23 Oc俄里肯巴尔扎斯股份公司 产生等离子体的方法和装置
CN1795530B (zh) * 2003-05-22 2010-12-01 艾克塞利斯技术公司 等离子体装置,用于等离子体装置的气体分布组件及其方法
CN101248506B (zh) * 2005-08-15 2011-09-07 爱德华兹有限公司 处理气流的方法
CN101241886B (zh) * 1999-07-22 2012-04-25 株式会社半导体能源研究所 接触结构及半导体器件
CN103167717A (zh) * 2011-12-19 2013-06-19 东京毅力科创株式会社 电感耦合等离子体用天线单元和电感耦合等离子体处理装置

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6516742B1 (en) * 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
US6355183B1 (en) 1998-09-04 2002-03-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for plasma etching
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6534826B2 (en) 1999-04-30 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP3385528B2 (ja) * 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
TW490713B (en) * 1999-07-22 2002-06-11 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
JP3538084B2 (ja) * 1999-09-17 2004-06-14 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
TWI286338B (en) * 2000-05-12 2007-09-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6872604B2 (en) * 2000-06-05 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a light emitting device
TW577813B (en) * 2000-07-10 2004-03-01 Semiconductor Energy Lab Film forming apparatus and method of manufacturing light emitting device
KR100345666B1 (ko) * 2000-07-31 2002-07-24 주식회사 하이닉스반도체 플라즈마의 전자온도 감소를 이용한 강유전체 소자의 열화감소 방법
JP4717295B2 (ja) * 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
JP2003045874A (ja) * 2001-07-27 2003-02-14 Semiconductor Energy Lab Co Ltd 金属配線およびその作製方法、並びに金属配線基板およびその作製方法
DE10141696A1 (de) * 2001-08-25 2003-03-13 Bosch Gmbh Robert Verfahren zur Erzeugung einer nanostruktuierten Funktionsbeschichtung und damit herstellbare Beschichtung
US6773944B2 (en) * 2001-11-07 2004-08-10 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
JP3820188B2 (ja) * 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
JP4131813B2 (ja) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 プラズマエッチング方法及び半導体装置の作製方法
JP4369264B2 (ja) * 2003-03-25 2009-11-18 東京エレクトロン株式会社 プラズマ成膜方法
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
DE10335523B4 (de) * 2003-07-31 2009-04-30 Koch, Berthold, Dr.-Ing. Vorrichtung zur Plasmaerregung mit Mikrowellen
CN100499035C (zh) * 2003-10-03 2009-06-10 株式会社半导体能源研究所 半导体器件的制造方法
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
JP4519512B2 (ja) * 2004-04-28 2010-08-04 株式会社半導体エネルギー研究所 半導体装置の作製方法、除去方法
US7565808B2 (en) 2005-01-13 2009-07-28 Greencentaire, Llc Refrigerator
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
KR100824974B1 (ko) * 2006-08-17 2008-04-28 (주)아이씨디 플라즈마 처리장치의 안테나
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
JP4932857B2 (ja) * 2007-02-16 2012-05-16 ラム リサーチ コーポレーション 誘導コイル、プラズマ発生装置およびプラズマ発生方法
US20080254235A1 (en) * 2007-04-10 2008-10-16 Jeonggoo Kim Pulsed laser deposition of high quality photoluminescent GaN films
US7726135B2 (en) * 2007-06-06 2010-06-01 Greencentaire, Llc Energy transfer apparatus and methods
US20090200005A1 (en) * 2008-02-09 2009-08-13 Sullivan Shaun E Energy transfer tube apparatus, systems, and methods
KR101489326B1 (ko) * 2008-09-09 2015-02-11 삼성전자주식회사 기판의 처리 방법
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5851681B2 (ja) * 2009-10-27 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5592098B2 (ja) 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5800547B2 (ja) * 2011-03-29 2015-10-28 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6037292B2 (ja) 2013-08-20 2016-12-07 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6623511B2 (ja) * 2014-11-05 2019-12-25 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107706518B (zh) * 2017-09-26 2019-11-15 北京邮电大学 一种螺旋结构的涡旋电磁波天线阵
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107768818B (zh) * 2017-10-27 2019-10-01 内蒙古科技大学 用于产生多模态oam涡旋电磁波束的微带相控阵列天线
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102148350B1 (ko) * 2020-04-28 2020-08-26 에이피티씨 주식회사 구조 변형이 가능한 플라즈마 소스 코일 및 이의 조정 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06188237A (ja) * 1992-12-16 1994-07-08 Hitachi Ltd プラズマ形成装置
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
JP3077009B2 (ja) * 1993-03-27 2000-08-14 東京エレクトロン株式会社 プラズマ処理装置
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JP3173693B2 (ja) * 1993-10-04 2001-06-04 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP2641390B2 (ja) * 1994-05-12 1997-08-13 日本電気株式会社 プラズマ処理装置
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
JP3090877B2 (ja) * 1995-06-06 2000-09-25 松下電器産業株式会社 プラズマ処理方法および装置
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101241886B (zh) * 1999-07-22 2012-04-25 株式会社半导体能源研究所 接触结构及半导体器件
CN100351988C (zh) * 2001-03-30 2007-11-28 拉姆研究有限公司 控制等离子体激励功率的等离子体处理方法和装置
CN100364035C (zh) * 2001-09-28 2008-01-23 Oc俄里肯巴尔扎斯股份公司 产生等离子体的方法和装置
CN1314072C (zh) * 2001-10-22 2007-05-02 应用材料有限公司 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
CN1795530B (zh) * 2003-05-22 2010-12-01 艾克塞利斯技术公司 等离子体装置,用于等离子体装置的气体分布组件及其方法
CN101248506B (zh) * 2005-08-15 2011-09-07 爱德华兹有限公司 处理气流的方法
CN103167717A (zh) * 2011-12-19 2013-06-19 东京毅力科创株式会社 电感耦合等离子体用天线单元和电感耦合等离子体处理装置
CN103167717B (zh) * 2011-12-19 2016-03-02 东京毅力科创株式会社 电感耦合等离子体用天线单元和电感耦合等离子体处理装置

Also Published As

Publication number Publication date
US6875307B2 (en) 2005-04-05
US7135089B2 (en) 2006-11-14
US6093457A (en) 2000-07-25
KR19980080786A (ko) 1998-11-25
US20050145340A1 (en) 2005-07-07
KR100289239B1 (ko) 2001-07-12
TW376547B (en) 1999-12-11
CN1102801C (zh) 2003-03-05
US20020079058A1 (en) 2002-06-27

Similar Documents

Publication Publication Date Title
CN1102801C (zh) 等离子体处理的方法及装置
CN1298027C (zh) 等离子体处理装置
CN1118090C (zh) 等离子体处理方法及装置
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
CN1246887C (zh) 等离子体处理装置以及半导体制造装置
US8917022B2 (en) Plasma generation device and plasma processing device
CN1284209C (zh) 等离子体处理方法及装置
US10012248B2 (en) Annular baffle
CN1759473A (zh) 半导体处理用的基板保持结构和等离子体处理装置
US8946030B2 (en) Method for forming dummy gate
US11430636B2 (en) Plasma processing apparatus and cleaning method
CN1499584A (zh) 产生气体等离子体的方法和装置及制造半导体器件的方法
CN1774787A (zh) 环形低场活性气体和具有绝缘真空容器的等离子体源
WO1997008734A1 (en) Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
CN1460286A (zh) 等离子体处理装置
CN109103089B (zh) 等离子体处理方法和等离子体处理装置
CN1210768C (zh) 等离子体处理装置
CN108352297B (zh) 合并式盖环
US20230203659A1 (en) Pedestal for substrate processing chambers
US20180226252A1 (en) Method for Planarizing Graphene Layer
CN101064986A (zh) 结合有多重磁芯的电感耦合等离子体反应器
TWI797134B (zh) 電漿處理方法及電漿處理裝置
JP5893260B2 (ja) プラズマ処理装置および処理方法
US20150110973A1 (en) Plasma processing apparatus and plasma processing method
CN105810581A (zh) 蚀刻方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030305

Termination date: 20110327